Синтез цифрового автомата

  • Вид работы:
    Курсовая работа (т)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Украинский
    ,
    Формат файла:
    MS Word
    471,52 Кб
  • Опубликовано:
    2013-11-06
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Синтез цифрового автомата

НАЦІОНАЛЬНИЙ АВІАЦІЙНИЙ УНІВЕРСИТЕТ

Кафедра радіоелектроніки










КУРСОВА РОБОТА

з дисципліни Цифрова схемотехніка

Тема: Синтез цифрового автомата

Виконала: студент 309 групи

факультету електроніки Приміська І.В.

Керівник: професор Корчинський А.П.






Київ 2012р.

ЗАВДАННЯ

. Термін виконання роботи: з 01.09.2012р. до 30.12.2012р.

. Вихідні дані до роботи: автомат з керованим вхідним сигналом М.

коефіцієнт лічення по варіантам таблиці 1

М=0 - двійковий лічильник;

М=1 - лічильник в коді, який задається таблицею;

інтегральні цифрові мікросхеми, на яких будується комбінаційна схема цифрового автомата задається таблицею 1 за варіантом;

типи тригерів також задаються таблицею 1 по варіантам ;

інтегральні цифрові схеми і тригери заданого типу студент вибирає самостійно по довіднику з інтегральних цифрових схем.

. Етапи роботи над курсовою роботою:

розробляється граф роботи автомата;

будується кодована таблиця переходу автомата;

визначаються функції збудження тригерів і ведеться мінімізація цих функцій (якщо це потрібно);

будується логічна схема автомата;

розробляється принципова схема автомата;

визначається максимальна частота синхронізації з обліком затримок елементів схеми. Будуються часові діаграми роботи автомату.

РЕФЕРАТ

Пояснювальна записка до курсової роботи "Синтез цифрового автомата"

Об’єкт проектування - цифровий автомат з двома режимами роботи, керований вхідним сигналом М.

Мета роботи - засвоїти багатоваріантність реалізації автомата, яка зв’язана з вибором типів тригерів і комбінаційної частини. Ознайомлення з методами структурного синтезу автоматів Мура та Мілі.

Метод дослідження - структурний синтез автоматів Мура та Мілі.

Можна відмітить що тригер типу JK має більш розвинені логічні функції, тому для нього функції збудження в середньому більш прості.

Комбінаційна частина автомата може бути побудована на логічних елементах, мультиплексорах, інтегральних схемах програмуємої пам'яті, програмуємих логічних матрицях і т.ін.

Вступ

Математичним базисом цифрових пристроїв є булева алгебра, яка визначає виконання операцій над бінарними змінними. Ці операції реалізуються на логічних вентелях, дешифраторах, мультиплексорах та програмуємих логічних пристроях.

Цифрові пристрої можуть бути комбінаційними і послідовностними (кінцеві автомати). Базовими логічними компонентами, які мають пам’ять, є тригери. В курсовій роботі потрібно синтизувати кінцевий автомат, який працює у двох режимах, які визначають заданий код.

Мета і завдання курсової роботи

Курсова робота виконуються з метою закріплення, поглиблення та узагальнення теоретичних знань, набутих студентами під час вивчення дисципліни, розвитку навичок їх практичного застосування, самостійного та комплексного розв'язання конкретних фахових завдань.

Студент повинен знати методи побудови послідовностних схем (кінцевих автоматів), використовуючи різні системи логічних інтегральних мікросхем та тригерів в тому числі і програмовані логічні матриці (І-НЕ, АБО-НЕ, DC, MS, ПЛМ, тригери RS, D, JK, T). Виконання курсової роботи допомагає студенту опанувати методику проектування складних послідовностних схем.

Завдання на курсову роботу по цифровим пристроям

1. Спроектувати автомат з двома режимами роботи керованими вхідним сигналом М.

Коефіцієнт рахунку (Кр) - згідно з таблицею 1.

М=0 - двійковий лічильник

М=1 - лічильник в коді, який задається таблицею.

Завдання береться по особистому номеру в журналі групи:

Коди

Комб. схем.

Тригери

Примітки

1

16

Прямий код \ Код Грея

І-НЕ

RS

-----

2

10

Прямий код \ Код Айкена

АБО-НЕ

JK

-----

3

10

Прямий код\ Код Емері

DC

D

DC з прямим виходом

4

16

Прямий код Додатковий код

MS

T

MS три адресні вх.

5

10

Прямий код Код з надлишком “3”

ПЛМ

JK

--------

6

16

Прямий код \ Код Грея

АБО-НЕ

JK

--------

7

10

Прямий код \ Код Айкена

І-НЕ

Т

--------

8

10

Прямий код\ Код Емері

MS

D

MS три адресні вх.

9

16

Прямий код \ Додатковий код

DC

JK

DC з інверсним виходом

10

10

Прямий код Код з надлишком “3”

ПЛМ

RS

--------

11

16

Прямий код Код Грея

DC

D

DC з інверсним виходом

12

10

Прямий код \ Код Айкена

MS

T

MS три адресні вх.

13

10

Прямий код \ Код Емері

ПЛМ

JK

-------

14

16

Прямий код \ Додатковий код

І-НЕ

RS

--------

15

10

Прямий код \ Код з надлишком “3”

АБО-НЕ

JK

---------

16

16

Прямий код \ Код Грея

MS

T

MS три адресні вх.

17

10

Прямий код \ Код Айкена

ПЛМ

JK

-------

18

10

Прямий код \ Код Емері

АБО-НЕ

RS

------

19

16

Прямий код \ додатковий код

І-НЕ

JK

-------

20

10

Прямий код \ Код з надлишком “3”

DC

D

DС з прямим вих.

21

16

Прямий код \ Код Грея

MS

JK

MS три адресні вх.

22

10

Прямий код \ Код Айкена

ПЛМ

RS

---------

23

10

Прямий код \ Код Емері

І-НЕ

JK

------------

24

16

Прямий код\ Додатковий код

АБО-НЕ

D

-----------

25

10

Прямий код \ Код з надлишком “3”

DC

T

DC з інверсним виходом

26

16

Прямий код \ Код Грея

ПЛМ

Т

--------

27

10

Прямий код \ Код Айкена

І-НЕ

JK

----------

28

10

Прямий код \ Код Емері

АБО-НЕ

RS

-----------

29

16

Прямий код \ Додатковий код

DC

JK

DС з прямим вих.

30

10

Прямий код \ Код з надлишком “3”

MS

D

MS три адресні вх.


Зауваження: допоміжні логічні інтегральні мікросхеми брати лише І-НЕ чи АБО-НЕ.

Цифрові автомати з пам’ятю

Вузли та пристрої, що містять елементи пам’яті, відносяться до класу автоматів з пам’яттю (АП). Наявність елементів пам’яті (ЕП) додає АП властивість мати деякий внутрішній стан, визначений сукупністю станів всіх елементів пам’яті. Залежно від внутрішнього стану (далі називаного просто станом), АП по різному реагує на один і той же вектор вхідних сигналів. Сприймаючи вхідні сигнали при певному стані, АП переходить в новий стан і виробляє вектор вихідних змінних. Таким чином, для АП

і

де  і  - стани АП після і до подачі вхідних сигналів.

Переходи АП з одного стану в інший починаються з деякого стану , заданя якого також є частиною завдання автомата. Наступний стан залежить від  і вхідних сигналів , що поступили на автомат в попередні зміни вхідних сигналів. Таким чином, вся послідовність вхідних сигналів визначає послідовність станів і вихідних сигналів. Це пояснює назву „послідовні схеми”, також вживану для позначення АП.

Структурно АП відрізняється від комбінаційного кола (КК) наявністю в їх схемах зворотних зв'язків, унаслідок чого в них виявляються властивості запам'ятовування станів (корисно пригадати схеми елементів тригерів), де вказана особливість виявляється дуже наочно.

Автомати з пам'яттю в канонічному уявленні поділяють на дві частини: пам'ять і комбінаційна схема.

На входах КС подаються вхідні сигнали і сигнали стану АП. На її виході виробляються вихідні сигнали і сигнали переходу АП у новий стан.

В синхронному АП є спеціальні синхросигнали (тактуючі імпульси) С, які дозволяють елементам пам'яті прийом даних тільки в певні моменти часу.

Елементами пам'яті служать синхронні тригери. Процес обробки інформації упорядковується в часі, і протягом одного такту можливо розповсюдження процесу перемикання тільки в строго визначених межах тракту обробки інформації. В синхронних автоматах кожний стан стійкий і перехідні тимчасові стани не виникають. Порівняно з асинхронними, синхронні АП значно простіше в проектуванні.

В теорії автоматів проводиться їх класифікація по ряду ознак. Не вдаючись в подробиці, відзначимо, що в схемотехніці переважають автомати Мура, виходи яких є функціями тільки стану автомата. Для цього автомата

 і

Залежність виходів і від стану автомата і від вектора вхідних змінних, властива автоматам Мілі

,

Засоби завдання автоматів з пам’яттю

Початкове завдання функціонування може мати різну форму, у тому числі і словесну. Від неї переходять до формалізованого завдання - таблицям, формулам, діаграмам стану і т.і. Далі виконуються мінімізація і кодування станів автомата, внаслідок чого виходить кодована таблиця переходів, на підставі якої можна знайти функції збудження тригерів.

Функції збудження тригерів, забезпечуючи переходи АП з одного стану в інший, реалізуються його комбінаційною частиною. Вони, як сказано в переліку етапів проектування, мінімізуються і переводяться в базис вибраних засобів реалізації автомата.

Мал.. 1. Структурна схема автомата Мілі

Алгоритм синтезу

. Початкове завдання функціонування.

. Граф автомата.

. Кодування вхідних і вихідних сигналів.

. Визначення кількості і типів елементарних автоматів.

. Кодування станів автомата

. Побудова кодованої таблиці входів і виходів.

7. Визначення функції збудження елементарних автоматів.

8. Перехід до базису заданої (вибраної) функції для реалізації схемотехнології

. Визначення функції виходів проектованого автомата.

. Побудова логічної схеми.

11. Вибір із довідника елементів схеми та параметрів цих елементів.

. Розробка принципової схеми.

. Визначення максимальної частоти синхронізації.

Синтез автоматів з пам’яттю

Розглянемо більш детально методику проектування автоматів, що містять тригери.

Комбінаційна частина автомата може бути побудована на логічних елементах, мультиплексорах, інтегральних схемах програмуємої пам'яті, програмуємих логічних матрицях і т.д.

Початкове завдання функціонування автомата

Нехай необхідно спроектувати автомат з двома режимами роботи, керований вхідним сигналом М. При М=0 автомат працює як двійковий лічильник з модулем рахунку 10, а при М=1, як лічильник в коді Айкена.

Десятковий код

Прямий код

Код з надлишком «3»

0

0000

0011

1

0001

0100

2

0010

0101

3

0011

0110

4

0100

0111

5

0101

1000

6

0110

1001

7

0111

1010

8

1000

1011

9

1001

1100


Побудова графа автомата

Граф заданого автомата


Зміна керуючого сигналу М веде до зміни режима. Наступний стан буде належати вже другому коду. Цей автомат мінімальний.

Кодування вхідних сигналів і станів

Таблиця 2


0


M

1


Таблиця 3


Q3

Q2

Q1

Q0

Z0

0

0

0

0

Z1

0

0

0

1

Z2

0

0

1

0

Z3

0

0

1

1

Z4

0

1

0

0

Z5

0

1

0

1

Z6

1

1

0

Z7

0

1

1

1

Z8

1

0

0

0

Z9

1

0

0

1


Прямий код

Таблиця 4


Q3

Q2

Q1

Q0

Z0

0

0

1

1

Z1

0

1

0

0

Z2

0

1

0

1

Z3

0

1

1

0

Z4

0

1

1

1

Z5

1

0

0

0

Z6

1

0

0

1

Z7

1

0

1

0

Z8

1

0

1

1

Z9

1

1

0

0


Код з надлишком «3»

 стан автомата, коли він працює як двійковий лічильник

 стан елементарного автомата (тригера)

 стан автомату коли він працює як лічильник в коді з надлишком «3»

 стан елементарного автомата (тригера)

Кодування станів автомата, що є автоматом Мура, визначається тут самою постановкою задачі. Діаграма станів автомата показана на рисунку вище. Зміна управляючого сигналу М відразу веде до зміни режиму, тобто наступний стан буде належати вже іншому коду.

Автомат побудований на RS тригерах та ПЛМ. Таблиця переходів автомата, відповідає діаграмі його станів.

Визначення кількості і типів елементарних автоматів (тригерів)

Число тригерів n при модулі рахунку N=10 визначається формулою n = ┐┌ і буде дорівнювати n = ┐┌ = 4. Беручи до уваги вимогу завдання, вибираємо RS. Для синтезу цифрового автомата на цих тригерах треба використовувати матрицю його переходів.

Таблиця 5

RS



0 0

-

0

0 1

0

1

1 0

1

0

1 1

0

-


Побудова кодованої таблиці переходів і виходів автомата

Функції виходів для автомата Мура залежать тільки від станів автомата. В даній задачі функція виходів буде визначатися станом автомата. В даній задачі функція виходів буде визначатися станом автомата.

Вхідний керуючий сигнал

Початковий стан

Новий стан

Функції збудження елементарних автоматів (тригерів)

М

Q3

Q2

Q1

Q0

Q3t+1

Q2t+1

Q1t+1

Q0t+1

0

0

0

0

0

0

0

0

1

-

0

-

0

-

0

0

1

0

0

0

0

1

0

0

1

0

-

0

-

0

0

1

1

0

0

0

0

1

0

0

0

1

1

-

0

-

0

0

-

0

1

0

0

0

1

1

0

1

0

0

-

0

0

1

1

0

1

0

0

0

1

0

0

0

1

0

1

-

0

0

-

-

0

0

1

0

0

1

0

1

0

1

1

0

-

0

0

-

0

1

1

0

0

0

1

1

0

0

1

1

1

-

0

0

-

0

-

0

1

0

0

1

1

1

0

1

1

1

0

1

1

0

1

0

1

0

0

1

0

0

0

1

0

0

1

0

-

-

0

-

0

0

1

0

1

0

0

1

0

0

0

0

1

0

-

0

-

0

1

0

1

0

0

1

1

0

1

0

0

-

0

0

1

1

0

1

0

1

0

1

0

0

0

1

0

1

-

0

0

-

-

0

0

1

1

0

1

0

1

0

1

1

0

-

0

0

-

0

1

1

0

1

0

1

1

0

0

1

1

1

0

0

-

0

-

0

1

1

0

1

1

1

1

0

0

0

0

1

1

0

1

0

1

0

1

1

0

0

0

1

0

0

1

0

-

-

0

-

0

0

1

1

1

0

0

1

1

0

1

0

0

-

-

0

0

1

1

0

1

1

0

1

0

1

0

1

1

0

-

-

0

0

-

0

1

1

1

0

1

1

1

1

0

0

0

-

0

1

1

0

1

0

1

1

1

0

0

0

0

1

1

1

0

1

0

0

1

0

1


Мінімізація функції збудження

За допомогою карт Карно визначаємо мінімальну диз’юнктивну форму (МДНФ) функцій збудження тригерів R3, S3, R1, S1, R2, S2, R0, S0

      R3          MQ3Q2

 Q1Q0         000    001     011     010     110    111    101     100

-

-

-

0

0

1

-

-

-

-

-

1

0

-

-

-

-

0

-

-

0

-

0

-

-

-

-

-

0

-

-

-

 00

01

11

10

R3=Q1Q0M˅Q3Q2

     S3    MQ3Q2

            Q1Q0    000     001    011     010    110    111     101     100

00---00-








0

0

-

0

-

-

0

-

0

1

-

-

-

-

1

0

0

0

-

-

-

-

0

-

                 00

                 01

                  11

                  10

=MQ2Q1Q0Q2Q1Q0

      R2     MQ3Q2

           Q1Q0     000     001    011     010     110   111      101     100

-0---10-








-

0

-

-

-

-

0

-

0

1

-

-

0

-

1

0

-

0

-

-

-

-

0

-

                00

               01

               11

               10

=Q1Q3Q1Q0Q2

      S2    MQ3Q2

         Q1Q0          000   001     011     010     110    111     101     100

0--000--








0

-

-

0

0

-

-

-

1

0

-

-

1

-

0

1

0

-

-

-

0

-

-

-

             00

            01

            11

            10

=Q1Q0Q3Q2Q1Q0Q3

    R1      MQ3Q2

            Q1Q0     000    001      011   010     110     111    101     100

-----0--








0

0

-

-

0

-

0

-

1

1

-

-

1

-

1

1

0

0

-

-

0

-

0

-

                00

               01

              11

                 10

=Q1Q0

       S1   MQ3Q2

         Q1Q0          000     001    011     010   110     111    101    100

00-0000-








1

1

-

0

1

-

1

-

0

0

-

-

0

-

0

0

-

-

-

-

-

-

-

-

             00

            01

            11

                10

S1=MQ3Q2˅Q1Q0Q3˅Q1Q0M

      R0    MQ3Q2

          Q1Q0       000    001      011    010     110    111     101    100

00-0000-








1

1

-

1

1

-

1

-

1

1

-

-

1

-

1

1

0

0

-

-

0

-

0

-

              00

             01

              11

              10

R0=Q0

       S0   MQ3Q2

          Q1Q0        000    001    011     010    110     111     101    100

11-1111-








0

0

-

0

0

0

-

0

0

-

-

0

-

0

0

1

1

-

-

1

-

1

-

               00

              01

              11

              10

S0=Q0

Перехід до базису заданої функції для реалізації схемо технології

Для реалізації схемотехнології необхідно перейти до заданого базису. У нашому випадку це ПЛМ ( програмована логічна матриця).

Для створення ПЛМ елементи з'єднуються між собою (здійснюється програмування схеми) на заключному етапі виготовлення за спеціальними шаблонами, за допомогою яких утворюються або руйнуються зв'язки. Так як мікросхеми цих пристроїв побудовані за матричним принципом, їх називають ПЛМ.

Матриця - це сітка взаємно перпендикулярних провідників, в місцях перетину яких знаходяться діоди або транзистори, включені через запобіжники. При програмуванні перемички можуть бути розплавлені.

Мал. 2 - Умовно-графічне зображення ПЛМ

Програмована логічна матриця є матрицею вентилів, яку можна запрограмувати у вигляді різних комбінацій вентилів, що реалізують логічні функції диз'юнкції і кон'юнкції. На їх основі можуть складатися складні комбінаційні логічні схеми. Матриці ПЛМ відрізняються від постійних запам'ятовуючих пристроїв тільки структурою і випускаються у вигляді пристроїв, програмованих за допомогою шаблону заводом виробником На рис. 3 показана схема матриці, програмованої користувачем. Матриця містить діоди, кожен з яких катодом підключений до рядків, а анодом - до стовпців; послідовно з кожним діодом включена плавка вставка.

На базі цієї матриці може бути сформована кон'юнктівной матриця, реалізує функції "АБО".

Синтез логічних схем на базі ПЛМ складається у виборчому руйнуванні (пережигания) елементів структури ПЛМ під дією прикладеної електричної напруги.

Мал. 3 - Схема ПЛМ, програмується користувачем

У матриці щє є резистори R, підключені до вертикальних шин. При наявності на катоді хоча б одного діода нульового потенціалу (лог. "0") цей діод відкривається і на відповідній вихідний вертикальної шині встановлюється також сигнал лог. "0".

Побудова логічної схеми

Мал..4 - Логічна схема автомата на тригерах RS

Вибір з довідника елементів схеми та параметри цих елементів

К155ЛН1

Мікросхема К155ЛН1 представляє собою шість елементів НЕ

Малюнок 5 - Графічне зображення К555ЛН1

Таблиця 7 - Електричні параметри


В таблиці 7 наведені електричні параметри, тому можна зробити висновок, що в елементі ЛН1 серії К555 кращі параметри споживання, але параметр часу затримки гірший ніж в цьому ж елементі 155 серії, враховуючи, що швидкодія важлива у схемі цифрового автомату ми використовуєм ЛН1 серії К155.

Корпус мікросхеми

Малюнок 6 - Корпус К155ЛН1

RS- тригер

Для реализації RS- тригери використовуюмо схему JK-тригера ТВ1.

Тригер JK типу відноситься до розряду універсальних тригерів, оскільки на його основі шляхом нескладної зовнішньої комутації можна побудувати RS, D-і T-тригера.

Таблиця 8 - Таблиця переходів JK-тригера

J

K

Q(t)

Q(t+1)

0

0

0

0

0

0

1

1

0

1

0

0

0

1

1

0

1

0

0

1

1

0

1

1

1

1

0

1

1

1

1

0

тригер виходить з тригера JK типу простим накладенням обмеження ня на комбінацію вхідних сигналів J = K = 1, тому ця комбінація є забороненою для RS тригера.

Малюнок 7 - Графічне Зображення К176ТВ1

Таблиця 10 - Електричні параметри

1

Номінальна напруга живлення

5 В

2

Вихідна напруга низького рівня

не більше 0,4 В

3

Вихідна напруга високого рівня

не менше 2,4 В

4

Напруга на антізвонном діоді

не менше -1,5 В

5

Вхідний струм низького рівня по входах 3-5,9-11 по входах 2,12,13

не більше -1,6 мА не більше -3,2 мА

6

Вхідний струм високого рівня

не більше 0,04 мА

7

Вхідний пробивний струм

не більше 1 мА

8

Струм короткого замикання

-18 ... -55 мА

9

Струм споживання

не більше 20 мА

10

Споживана статична потужність

не більше 105

11

Час затримки поширення при включенні

не більше 40 нс

12

Час затримки поширення при вимиканні

не більше 25 нс

13

Тактова частота

не більше 15 мГц


Корпус мікросхеми

Малюнок 8 - Корпус К155ТВ1

Малюнок 9 - Графічне Зображення КР556РТ1

- сигнал програмування PR; 2 - 9 - входи А8 - А1; 10 - 13 - виходи В8 - В5; 14 - загальний; 15 - 18 - виходи В4 - В1; 19 - вибір мікросхеми CS; 20 - 27 входи А16 - А9; 28 - живлення UСС

Мікросхема КР556РТ1 являє собою програмовану ло-ня матрицю (16x48x8) з відкритим колектором на виході.

Містить 48 термів творів (І-терми) і 8 термів сум (АБО-терми). Кожен АБО-терм управляє вихідний функцією, яка може бути запрограмована або з активно високим, або з активно низьким рівнем.

Містить 5600 інтегральних елементів.

Година затримки - 70нс

Корпус мікросхеми

Малюнок 10 - Корпус КР556РТ1

Визначення максимальної частоти синхронізації

Для то щоб розрахувати максимальну частоту синхронізації нам необхідно знати час затримки кожного елементу окремо.

для мікросхеми КР556РТ1 час затримки складає 70нс.

для мікросхеми К176ТВ1 час затримки складає 40нс.

Отримаємо суму, яка дорівнює 110 нс та додамо також резервний час для того, щоб бути впевниними, що сигнал потрапить на імпульс синхронізації.

Тому максимальна частота синхронізації складає 120нс.

Часові діаграми

При М=0

Прямий код































 






 




 



 


При М=1

Код з надлишком «3»





















































Висновки

Після виконання даної курсової роботи, ми можемо прийти до таких висновків:

Будь яку функції, можна виконати на програмованих логічних матрицях типу КР556РТ1.

Щоб створити лічильник з використанням різних кодів, треба обидва коди поєднати в одній таблиці істинності, за допомогою додаткової змінної, розглядати ці дві функції, як одну.

Тригери - це елементарні автомати пам’яті.

У відповідь на сигнал на одному з входів виходи тригера переходять у нові стани.

Синхронні тригери переключаються в новий стан фронтом тактового сигналу.

автомат пам’ять кодований сигнал

Список рекомендованої літератури

1.   Кулік М.С., Полухін А.В. Положення про курсове проектування. - К., 2002. - 32с.

2.      Угрюмов Е.П. Цифровая схемотехника. - СПб.:БХВ - Санкт-Петербург, 2000. - 528с.

.        Корчинский А.П. Основы цифровой схемотехніки. - К.,2000. - 276с.

.        Рональд Дж. Точи, Нил С. Уидмер. Цифровые системы. Теория и практика. Восьмое издание: Пер. с англ. - М.: Издательский дом «Вильямс», 2004. - 1024 стр.

.        Барри Уилкинсон. Основы проектирования цифровых схем: Пер. с англ. - М: Издательский дом «Вильямс», 2004. - 320 стр.

Похожие работы на - Синтез цифрового автомата

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!