Разработка системы сжатия эхо-сигналов различной длительности

  • Вид работы:
    Дипломная (ВКР)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    3,13 Мб
  • Опубликовано:
    2012-07-06
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Разработка системы сжатия эхо-сигналов различной длительности

Реферат


Отчет 127 с., 10 ч., 25 рис., 17 табл., 18 источников, 5 прил.

СЖАТИЕ, ФИЛЬТР СЖАТИЯ, ЛЧМ, КИХ-ФИЛЬТР, ОБРАБОТКА СИГНАЛОВ.

Объектом исследования является модуль сжатия ЛЧМ сигналов.

Цель работы - разработка системы сжатия эхо-сигналов различной длительности, отвечающая заданным требованиям к точности и скорости обработки.

В данной работе рассмотрен сигнал с линейной частотной модуляцией, его свойства и характеристики, показаны методы вычисления автокорреляционной функции и один из способов коррекции ее боковых лепестков. Приведены математические описания алгоритма вычисления быстрой линейной свертки и алгоритмов быстрого поточного преобразования Фурье, проанализирована эффективность этого метода обработки по сравнению с другими. Описана структурная схема устройства, на основании которой выполнен синтез системы. Разработана принципиальная электрическая схема устройства, осуществлен анализ быстродействия и функционирования системы, сделана оценка погрешностей, возникающих в процессе работы.

Результатом работы является полностью смоделированная система обработки радиосигнала сложной формы, параметры которой полностью удовлетворяют техническому заданию.

Содержание


Введение

. ЛЧМ сигнал. Методы обработки

.1 ЛЧМ сигнал и его характеристики

.2 Методы обработки ЛЧМ сигнала и коррекция боковых лепестков

.3 Выводы

. Методы реализации цифровых фильтров сжатия и их сравнение

.1 КИХ-фильтр общего вида. Оценки затраченных аппаратурных ресурсов на его построение и времени задержки

.2 КИХ-фильтр, функционирующий по алгоритму быстрой свертки. Оценки затраченных аппаратурных ресурсов на его построение и времени задержки

.3 Анализ полученных результатов

.4 Выводы

. Разработка технического задания

.1 Техническое задание на разработку модуля сжатия сложных сигналов

.2 Выводы

. Разработка структурной схемы модуля и выбор элементной базы

.1 Структурная схема модуля

.2 Выбор элементной базы

.3 Выводы

. Реализация модуля сжатия ЛЧМ сигналов на базе ПЛИС

.1 Функциональная схема устройства

.2 Анализ работы и оценка быстродействия

.3 Выводы

. Конфигурирование ПЛИС

.1 PPA - Конфигурирование

.2 JTAG-программирование и конфигурирование микросхем

.3 Конфигурирование ПЛИС с помощью загрузочного кабеля и конфигурационной микросхемы

.4 Надёжность конфигурирования ПЛИС

.5 Выводы

. Технологическая часть

.1 Описание принципиальной схемы

.2 Технология изготовления печатной платы

.3 Технология изготовления МПП методом металлизации сквозных отверстий

.4 Расчет надежности модуля

. Конструкторская часть

.1 Выбор и обоснование принципов конструирования

.2 Конструктивное построение модуля.

.3 Конструктивное построение блока.

.4 Выводы

. Экономическая часть

.1 Введение

.2 Предприятие и отрасль, в котором оно занято

.3 Описание организации работ

.4 Описание продукта

.5 Оценка рынка и конкурентоспособности

.6 Маркетинг

.7 Организация производства

.8 Этапы разработки

.9 Финансовый план

.10 Расчет сметной стоимости ОКР

.11 Техническо-экономической обоснование целесообразности выполнения работ

.12 Использование программно-аппаратных средств.

.13 Выводы

. Экологичность и безопасность проекта

.1 Введение.

.2 Влияние электромагнитного излучения на человека.

.3 Оценка опасности для персонала при воздействии ЭМП.

.4 Средства и методы защиты от электромагнитного излучения.

.5 Оценка опасности для персонала при испытаниях системы на испытательном полигоне.

.6 Выводы

Заключение

Список источников

Приложения

Приложение А - программный код модуля «correlation»

Приложение Б - программный код модуля «mem_drv»

Приложение В - схема электрическая принципиальная

Приложение Г - схема функциональная

Приложение Д - экспериментальные результаты

Введение


Одной из важнейших проблем, стоящей перед современной радиолокацией, является обнаружение и обеспечение точности измерения основных параметров и характеристик отраженных радиосигналов, позволяющих определять пространственные координаты и скорость радиолокационной цели, а также расстояние до этой цели на фоне активных и пассивных помех.

Обнаружение радиолокационных целей зависит от энергии отраженного сигнала, точность же измерения параметров и характеристик принимаемых сигналов зависит, кроме энергии, и от формы зондирующего сигнала. Как известно, для того чтобы обеспечить высокоточное определение дальности и скорости радиолокационной цели, излучаемый сигнал должен иметь как можно большую длительность во времени и иметь как можно более широкий спектр, чего не могут обеспечить сигналы синусоидальной формы - простые сигналы. Таким требованиям удовлетворяют так называемые сложные сигналы, т.е. радиосигналы, имеющие базу много больше единицы и позволяющие преодолеть указанные выше недостатки простых сигналов.

Следует принять во внимание, что для обработки сигналов сложной формы с большой шириной спектра и малым значением длительности импульса требуется производить вычисления над достаточно большим количеством отсчетов принимаемого радиосигнала при высокой тактовой частоте работы всей системы в целом, то есть обеспечить высокую скорость вычислений.

Оптимальная обработка таких сигналов аналоговыми устройствами является сложной, однако на определённом этапе обработки можно выполнять дискретизацию сигналов по времени и по амплитуде аналого-цифровым преобразователем (АЦП) и проводить дальнейшую обработку цифровыми устройствами. Современная тенденция радиолокации состоит в как можно более скором переходе на полную цифровую обработку принятого сигнала.

Универсальные устройства, такие как сигнальные процессоры, не всегда обладают достаточным быстродействием и не всегда позволяют достичь требуемой скорости вычислений для режима реального времени, что вызывает необходимость построения специализированных систем обработки сигналов на программируемых логических интегральных схемах (ПЛИС).

Целесообразность цифровой обработки при обнаружении сигналов обусловлена прежде всего тем, что эффективность аналоговых устройств значительно снижается из-за различного рода нестабильностей, кроме того цифровые устройства лучше аналоговых поддаются микроминиатюризации. Положительными качествами цифровых устройств являются также высокие надежность и точность выполнения арифметических операций, возможность гибкой и оперативной перестройки параметров устройств.

1. ЛЧМ сигнал. Методы обработки

 

.1 ЛЧМ сигнал и его характеристики


Применение сложных сигналов дает возможность выбрать длительность зондирующего сигнала из условия обеспечения необходимой энергии и разрешающей способности по скорости ΔVR, а ширину спектра - из условия обеспечения необходимой разрешающей способности по дальности ΔR [1].

Увеличение ширины спектра при заданной длительности импульса получают за счет внутриимпульсной модуляции: частотной или фазовой. В общем случае математическое описание сложных радиолокационных сигналов можно представить в виде:

, (1.1)

где огибающая a(t) и функция угловой модуляции являются медленно изменяющимися функциями времени по сравнению с ωo - . Следует отметить, что существуют несколько видов частотной модуляции, например линейная (ЛЧМ), нелинейная (НЧМ) и другие. Однако в рамках данной работы остановимся на рассмотрении ЛЧМ сигнала и его свойств.

Аналитическая запись импульсного сигнала с линейной частотной модуляцией имеет вид:

при (1.2)

и u(t) = 0 при других t. Произведение ширины спектра сигнала на длительность импульса называется базой сигнала или коэффициентом сжатия:

где τи- длительность импульса сигнала, Δfс - ширина спектра сигнала, U0 - амплитуда сигнала.

Следует учесть, что в настоящий момент радиосигнал сложной формы, в том числе и ЛЧМ сигнал, можно перенести на более низкую частоту. Наиболее часто такое преобразование выполняется следующим образом: входной сигнал u(t) одновременно умножается на cos(ω0.t) и на sin(ω0.t), затем произведения сигналов проходят через фильтры нижних частот (ФНЧ) с соответствующей полосой пропускания, на выходе которых получаются квадратурные составляющие комплексной огибающей радиосигнала A(t): Re(A(t)) - действительная и Im(A(t)) - мнимая часть. На рисунке 1.1. изображена структурная схема такого преобразования.

Рисунок 1.1 Структурная схема преобразования ЛЧМ сигнала

В рассматриваемом случае такое преобразование позволяет перейти к комплексной огибающей ЛЧМ сигнала, именно она имеет наибольший практический интерес. Одна из математических записей комплексной огибающей ЛЧМ сигнала имеет вид

при                                                                               (1.4)

и A(t) = 0 при других t.

Следует заметить, что если сам ЛЧМ сигнал в общем виде можно описать только вещественными числами, то его комплексную огибающую можно представить только с помощью комплексных чисел. Итак, на рисунке 1.2. изображены ее квадратурные компоненты: действительная и мнимая части. Очевидно, что мнимая часть комплексной огибающей будет отличаться от действительной лишь начальной фазой.

Рисунок 1.2 Квадратурные компоненты комплексной огибающей

Переход от аналогового представления комплексной огибающей к ее цифровому виду производится при помощи аналого-цифрового преобразования. На рисунке 1.3 представлены эпюры квадратурных составляющих комплексной огибающей в цифровом виде с параметрами, указанными в техническом задании (ТЗ) и амплитудой 4095 единиц младшего разряда. При этом математическое описание комплексной огибающей будет иметь следующий вид:

                                        (1.5)

Где Тд - период дискретизации. Амплитудный спектр комплексной огибающей ЛЧМ сигнала не выражается через элементарные функции, а при большом значении базы становится все более равномерным, близким к прямоугольному виду. В общем случае спектр комплексной огибающей может быть не симметричным относительно «нулевой» частоты.

Рисунок 1.3 Квадратурные компоненты оцифрованный комплексной огибающей

Одна из основных характеристик радиолокационного сигнала - автокорреляционная функция (АКФ). Она служит мерой связи исходного и сдвинутого по времени и частоте сигналов, которую обеспечивает применение выбранного вида модуляции, то есть определяет корреляционные связи сигнала при временных и частотных сдвигах. На рисунке 1.4. приведен пример для рассматриваемого в рамках данной работы случая АКФ комплексной огибающей ЛЧМ сигнала.

Рисунок 1.4 Вид модуля АКФ

Действительно, из рисунка 1.4 видно, что это действительно АКФ комплексной огибающей ЛЧМ сигнала. Виден характерный главный максимум и боковые лепестки (БЛ), значения которых находятся ниже уровня -29 (дБ). Следует отметить, что в настоящее время такой УБЛ недопустим, поэтому существуют различные методы обработки ЛЧМ сигнала и его комплексной огибающей.

1.2 Методы обработки ЛЧМ сигнала и коррекция боковых лепестков

Обработку сложного радиолокационного сигнала ведут так, чтобы в некоторый единственный момент времени получить наибольшее отношение сигнал/шум. Это означает, что колебание на выходе системы обработки принимаемого сигнала имеет вид короткого импульса, или сжатого. Форма сжатого импульса с точностью до постоянных коэффициентов полностью повторяет вид АКФ. Сжатие ЛЧМ сигнала можно осуществить несколькими способами: корреляционным способом, сверткой сигнала в частотной области и другими.

Для сжатия сигнала корреляционным способом необходимо произвести больше операций по сравнению со вторым вариантом, однако в целом временных затрат требуется меньше.

В большинстве систем полезный главный лепесток сопровождается боковыми лепестками достаточно большой интенсивности. При обработке сигналов от нескольких целей с различной отражающей способностью это может оказаться весьма нежелательным, так как главный лепесток более слабой цели может быть скрыт боковым лепестком отклика от более сильной цели.

Используя взвешивание, можно понизить уровень боковых лепестков за счет ухудшения разрешения по дальности и ухудшения отношения сигнал/шум. Взвешивание можно выполнить во временной области, пропуская сигнал через дополнительный фильтр, в частотной области, взвешивая спектр между прямым и обратным БПФ либо однократно взвесить копию сигнала, в случае корреляционного алгоритма.

В процессе применения метода взвешивания возникают два требования к оконным функциям:

) ширина главного лепестка частотной характеристики окна, содержащего по возможности большую часть энергии, должна быть малой.

) энергия в боковых лепестках ЧХ окна должна быстро уменьшаться.

Существует множество окон, удовлетворяющие в той или иной степени заданным требованиям. Однако следует отметить тот факт, что ни одно окно не позволяет получить оптимальную аппроксимацию произвольной идеальной частотной характеристики, в силу свертки частотных характеристик окна и «идеального» фильтра.

Математическое описание окна Ханна выглядит следующим образом:

 (1.6)

На рисунке 1.5. приведена дискретная амплитудно-частотная характеристика окна Ханна.

Рисунок 1.5 Дискретная АЧХ окна Ханна

Результирующая АЧХ системы представляется виде (1.8):

Н(k) = Н(k).wн(k). (1.7)

На рисунке 1.6. на одном поле приведены две эпюры: цифровых взаимнокорелляционной функции (ВКФ) с окном Ханна и АКФ без коррекции БЛ.

Рисунок 1.6. Вид АКФ без коррекции БЛ и ВКФ с окном Ханна

УБЛ ВКФ с окном Ханна значительно ниже и составляет - 42,0 (дБ).

Расширение главного лепестка составляет примерно 1,5 раза.

1.3 Выводы


В данной главе рассмотрено математическое описание ЛЧМ сигнала. Отмечено, что комплексная огибающая ЛЧМ сигнала имеет наибольший практический интерес, так как существуют преобразователи, которые позволяют перейти от высокочастотного ЛЧМ сигнала к более низкочастотному, то есть комплексной огибающей. Приведены эпюры квадратурных составляющих дискретной комплексной огибающей с параметрами, указанными в техническом задании. Рассмотрена одна из основных характеристик радиолокационного сигнала - дискретная автокорреляционная функция и приведена ее эпюра из которой видно характерный главный максимум и боковые лепестки, значения которых находятся ниже уровня - 29 (дБ). Далее обоснована необходимость коррекции БЛ при помощи оконных функций, а так же кратко корреляционный метод обработки сигнала, что в общем случае может выполнить фильтр с конечной импульсной характеристикой. Рассмотрены ВКФ для систем с коррекцией БЛ и без коррекции. Показано, что при использовании оконной функции Ханна можно получить УБЛ - 42,0 (дБ), при соответствующем расширении главного лепестка примерно в полтора раза.

Исходя из проведенного анализа, необходимо заключить: ЛЧМ сигнал без коррекции уровня боковых лепестков не подходит для применения в данном устройстве, из-за неприемлемо высокого УБЛ, а, следовательно, необходимо применить корректирующую функцию. В данной работе корректирующая функция - оконная функция Ханна, была выбрана исходя из требований по УБЛ, в настоящее время. Далее необходимо рассмотреть методы реализации сжатия сигналов.

2. Методы реализации цифровых фильтров сжатия и их сравнение

 

.1 КИХ-фильтр общего вида. Оценки затраченных аппаратурных ресурсов на его построение и времени задержки


При построении цифровых устройств используют двухвходовые сумматоры/вычитатели и умножители, а так же их комбинации для получения результата, например сложения, трех и более слагаемых. При обработке комплексного сигнала используется квадратурная. Таким образом, все операции вычисляются с действительными числами, а следовательно анализ затраченных ресурсов будет произведен именно для действительных чисел, из которых потом сформируется последовательность комплексных отсчетов.

По сути дела, КИХ-фильтр общего вида выполняет линейную свертку двух последовательностей: комплексных отчетов цифрового сигнала и последовательность отсчетов импульсной характеристики в соответствии с выражением:

. (2.1)

Структурная схема КИХ фильтра показана на рисунке 2.1.

Рисунок 2.1 Блок-схема КИХ-фильтра комплексного сигнала

Из-за сходства этой структуры с линией задержки с отводами ее часто называют фильтром с многоотводной линией задержки (или иногда трансверсальным фильтром), где Z-1 означает задержку на один период тактовой частоты. Очевидно, что есть много и других способов организации вычисления и, таким образом, много других теоретически эквивалентных структур для которых количество задействованных аппаратных ресурсов будет примерно таким же.

Порядок КИХ фильтра определяется длиной его импульсной характеристики (в общем случае комплексной) h(n), которая имеет вид:

(n) = Re(h(n)) + j. Im(h(n)), где (2.2)(h(n)) = Re(h0).δ(n,0) + Re(h1).δ(n,1) + …+ Re(hNh-1.δ(n,Nh-1) (2.3)

Im(h(n)) = Im(h0).δ(n,0) + Im(h1).δ(n,1) + …+ Im(hNh-1.δ(n,Nh-1) (2.4)

и Nh - длина импульсной характеристики фильтра, n - номер отсчета, δ(n,i) - дельта символ Кронекера, принимающий значение 1 при n = i и 0 при n ≠ i.

Пусть x(n) - цифровой комплексный сигнал, поступающий на вход КИХ-фильтра с импульсной характеристикой h(n), который имеет вид:(n) = Re(x(n)) + j. Im(x(n)), (2.5)

где Re(x(n)) = Re(x(n)) + Re(x(n-1)) + Re(x(n-2)) + …+ Re(x(n-(Nx-1))) (2.6)

(x(n)) = Im(x(n)) + Im(x(n-1)) + Im(x(n-2)) + …+ Im(x(n-(Nx-1))) (2.7)

и Nx = 128 - количество обрабатываемых отсчетов сигнала x(n).

Тогда выходной сигнал y(n) ,будет определяться как:

(n) = x(n) . h(n). (2.8)

или y(n) = [Re(x(n)) + j. Im(x(n))].[Re(h(n)) + j.Im(h(n))]. (2.9)

Выражение (2.8) можно представить для наглядности в виде:

(n)=[Re(x(n)).Re(h(n))-Im(x(n)).Im(h(n))]+j.[Re(x(n)). Im(h(n))+Im(x(n)).Re(h(n))] (2.10)

Теперь не сложно сделать вывод о том, сколько понадобится операций, а точнее умножений и сложений/вычитаний для обработки комплексной последовательности отсчетов КИХ-фильтром. Целесообразно предположить, что операции сложения и вычитания производятся примерно за одинаковое время с одинаковой затратой на аппаратные ресурсы. Итак, для обработки одного комплексного отсчета необходимо произвести

умн КИХ = 4.Nh (2.11)

умножения с действительными числами. Не сложно показать, что количество сложений/вычитаний с действительными числами будет равно:

сл КИХ = 4.(Nh -1) + 2. (2.12)

Следует заметить, что количество умножителей и сумматоров для КИХ фильтра будет равняться Pумн КИХ и Pсл КИХ соответственно. Для того, чтобы получить оценку количества операций для обработки всей последовательности отсчетов x(n), необходимо умножить предыдущие результаты (2.11) и (2.12) на Nx = 128, получим:

умн КИХ Σ = 4.Nh.Nx (2.13)

умножений и

сл КИХ Σ = (4.(Nh -1) + 2).Nx (2.14)

сложений с действительными числами.

Таким образом была получена априорная оценка количества операций.

С целью организации линии задержек (ЛЗ) на один интервал следования отсчетов целесообразно использовать параллельные регистры соответствующей разрядности (здесь и далее разрядность отсчетов не будет учитываться, так как предполагается, что на входы рассматриваемых фильтров поступает одна и та же последовательность x(n)). Очевидно, что количество регистров задержки хорошо аппроксимируется выражением

Рлз КИХ= 2.(Nh -1). (2.15)

Заметим, что для построения фильтра, необходимо иметь запоминающее устройство (ПЗУ) для хранения значений отсчетов импульсной характеристики. Очевидно, что ЗУ должно осуществлять хранение действительной и мнимой частей Nh отсчетов, по этому количество слов ПЗУ можно определить как

Рпзу КИХ= 2.Nh. (2.16)

Теперь, после оценки количества аппаратурных ресурсов, можно оценить время, за которое будет происходить обработка сигнала. Но прежде необходимо сделать несколько предположений:

1)       все блоки фильтра синхронизированы между собой

2)      исходя из предположения о том, что интервал следования отсчетов равен длительности одного такта, то для простоты анализа положим, что время вычисления суммы/разности (Тсумм) и произведения (Тумн) двух чисел занимают ровно один тактовый интервал (Тт)

)        время задержки регистров сдвига (Трег) равняется одному такту.

Время вычисления Тких - время, через которое n-й отсчет появится на выходе фильтра, будет определяться суммой задержек регистров, умножителя и сумматоров/вычитателей:

Тких=Трег+Тумн+Тсумм=(Nh -1).Тт+Тт+(log2Nh+1).Тт=(Nh+ log2Nh+1) .Тт (2.17)

Таким образом, были получены оценки количества операций, необходимых для обработки цифрового сигнала, количества задействованных аппаратурных ресурсов и времени задержки, то есть времени, необходимого для выполнения процесса вычисления n-го отсчета КИХ-фильтром.

2.2 КИХ-фильтр, функционирующий по алгоритму быстрой свертки. Оценки затраченных аппаратурных ресурсов на его построение и времени задержки


Для осуществления процесса фильтрации цифрового сигнала по одному из алгоритмов быстрой линейной свертки необходимо выполнить следующие этапы:

) выполнить быстрое преобразование Фурье (БПФ) над комплексными отсчетами x(n) и получить последовательность X(k). По идее, БПФ необходимо произвести и над отсчетами импульсной характеристики h(n), но целесообразно исходить из предположения, что проектируемый фильтр не будет изменять свою частотную характеристику в процессе работы. Таким образом, можно выполнить БПФ над h(n) и внести результат H(k) в память проектируемого фильтра

) выполнить перемножение соответствующих отсчетов X(k) и H(k), получив новую последовательность Y(k) = X(k).H(k)

) выполнить обратное БПФ над Y(k).

Прежде чем приступить к оценке количества аппаратурных ресурсов целесообразно рассмотреть структурную схему фильтра, функционирующего по алгоритму быстрой свертки (БС-фильтр) двух последовательностей с применением поточного быстрого преобразования Фурье. Блок - схема БС-фильтра приведена на рисунке 2.2.

Рисунок 2.2 Блок-схема фильтра быстрой свертки

Целесообразно заметить, что последовательность отсчетов x(n) может оказаться на много длиннее чем h(n). В этом случае рекомендуется производить секционирование линейной свертки, то есть разбить более длинную последовательность на секции, вычислить частичные свертки, из которых затем сформировать искомую выходную последовательность y(n). Существует несколько методов вычисления свертки при выполнении которых количество операций будет примерно одинаковым, однако логичнее всего выбрать метод перекрытия с накоплением, так как он больше всего подходит для вычисления свертки с применением алгоритмов поточного БПФ и ОБПФ.

С целью пояснения последнего утверждения рассмотрим сущность метода перекрытия с накоплением. Для примера рассмотрим последовательность HH(n) содержит Nh =256 отсчетов, а последовательность x(n) состоит из Nх = 128 и дополнена количеством N0 = 128 нулевых отсчетов. Таким образом, две следующие друг за другом последовательности x(n) перекрываются друг с другом на участках длиной по N0 отсчетов. Участок перекрытия находится в конце секции, что и является удобством при применении алгоритмов поточного БПФ и ОБПФ. В рассматриваемом методе нет никаких операций сложения отсчетов частичных сверток, в отличие от метода перекрытия с суммированием.

Теперь необходимо оценить количество операций на всех трех этапах вычисления. При вычислении БПФ по алгоритму с основанием 2 необходимо, чтобы количество обрабатываемых отсчетов было равно 2b, где b - целое число и не меньше, чем N = Nх + N0. Тогда БПФ будет N=256 - точечным. Нетрудно показать, что количество вычислений базовых операций [2], необходимых для выполнения любого алгоритма БПФ будет равно:

(N/2)log2N. (2.18)

Если учесть, что последовательность отсчетов x(n) комплексная, то для вычисления одной базовой операции необходимо произвести четыре умножения числами и шесть сложений/вычитаний, где все операции с действительными числами. Таким образом, для вычисления N - точечного БПФ необходимо произвести

сл БПФ = 4.(N/2)log2N = 2.N.log2N (2.19)

умножений и

умн БПФ = 6.(N/2)log2N = 3.N.log2N (2.20)

сложений/вычитаний.

При выполнении обратного преобразования Фурье количество производимых операций будет таким же. Это утверждение следует из того, что ОБПФ можно вычислить следующим образом [2]:

                                           , (2.21)

где символ «*» означает комплексно - сопряженное число, которое получается путем простого изменения знака «мнимой» части отсчета. Деление на N производится путем отбрасывания необходимого количества младших разрядов. Таким образом, для выполнения БПФ и ОБПФ потребуется произвести

умн БПФ Σ = 2. Pумн БПФ (2.22)

умножений и

сл БПФ Σ = 2. Pсл БПФ (2.23)

сложений/вычитаний.

При выполнении умножения отсчетов X(k) на отсчеты H(k) потребуется 4N операции умножения и 2N операции сложения/вычитания.

Итак, количество операций, необходимых для вычисления одной секции быстрой свертки, потребуется выполнить:

умн Σ = Pумн БПФ Σ + 4.N =4.N.log2N + 4.N = 4.N.(log2N + 1) (2.24)

умножений и

сл Σ = Pсл БПФ Σ + 2.N = 6.N.log2N + 2.N = 2.N.(3.log2N + 1) (2.25)

сложений/вычитаний с действительными числами.

Следует заметить, что количество перемножителей и сумматоров для БС фильтра будет равняться:

перемн Σ = (4.N.log2N)/(N/2) + 4.N = 8.N.log2N + 4.N (2.26)

умножений и

Рсум Σ = (6.N.log2N)/(N/2) + 2.N = 2.N.(3.log2N + 1) (2.27)

сумматоров. Такое количество можно объяснить тем, что при использовании алгоритма поточного БПФ количество базовых операций не будет соответствовать выражению (2.18), а будет в N/2 раз меньше.

Таким образом была получена оценка количества операций, необходимых для обработки БС-фильтром комплексной последовательности отсчетов. Однако полученный результат не отображает количество задействованных аппаратных ресурсов, которые будут использованы при построении рассматриваемого фильтра.

Как видно из рисунке 2.2, количество линий задержек для осуществления поточного БПФ и ОБПФ будет одинаковым. Количество затраченных ЛЗ на один тактовый интервал для БПФ и ОБПФ хорошо аппроксимируется выражением

Рлз Σ = 4.(N -1). (2.28)

Учтем размерность задействованных ПЗУ, которая складывается из:

1)       ПЗУ для хранения значений поворачивающих множителей объемом N для БПФ и объемом N для ОБПФ

2)      ПЗУ для хранения значений отсчетов импульсной характеристики, над которыми выполнено преобразование Фурье, объемом N.

Таким образом, информационная емкость слов ПЗУ соответствующей разрядности составит

Рпзу Σ = 3.N. (2.29)

Теперь, после оценки количества аппаратурных ресурсов, можно оценить время, за которое будет происходить обработка отсчета одним АУ:

ТАУ=2.ТСУММ+ТУМН=3.Тт . (2.30)

Время вычисления ТБС - время, через которое n-й отсчет появится на выходе БС-фильтра, будет определяться суммой задержек ЛЗ - ТЛЗ, арифметических устройств - ТАУ, умножителей - ТУМН и сумматоров/вычитателей - ТСУММ:

ТБС =2.ТЛЗ+2.log2N.ТАУ +ТУМН+ТСУММ =(N/2).Тт+2.(N -1)Тт+6(log2N).Тт +2.Тт (2.31)

Таким образом, были получены оценки количества операций, необходимых для обработки цифрового сигнала, количества задействованных аппаратурных ресурсов и времени задержки, то есть времени, необходимого для выполнения процесса вычисления n-го отсчета БС-фильтром.

2.3 Анализ полученных результатов


С целью упорядочивания полученных данных, оценки количества производимых операций сведены в таблицу 1. В табл. 1 так же приведены рассчитанные значения для Nx = 128, Nh = 256, N = Nx + N0 = 256.

Таблица 2.1

Тип фильтра

Количество умножений

Количество сложений/вычитаний

КИХ-фильтр

Pумн КИХ Σ = 4.Nh.Nx = = 131072

Pсл КИХ Σ = (4.(Nh -1) + 2).Nx = = 130816

БС-фильтр

Pумн Σ = 4.N.(log2N + 1) = = 18432

Pсл Σ = 2.N.(3.log2N + 1) = = 25600


Как видно из приведенной выше таблицы, выигрыш БС - фильтра по сравнению с КИХ - фильтром составляет: более семи раз в количестве производимых умножений и более пяти раз в количестве умножений.

С целью сравнения количества затраченных аппаратных ресурсов для построения вариантов фильтров с конечной импульсной характеристикой, полученные ранее оценочные результаты сведены в таблицу 2.1.

Целесообразно отметить, что даже при умеренном количестве отсчетов импульсной характеристики - 256, количество сумматоров и умножителей, необходимых для построения КИХ-фильтра общей структуры значительно больше, чем у БС-фильтра. Сравнение двух рассматриваемых вариантов построения фильтров с конечной импульсной характеристикой предполагает поточную организацию обработки отсчетов, а, следовательно, в обоих структурах сигнал будет обрабатываться в режиме «реального времени». Однако, время задержки рассматриваемых фильтров будет различным. Оценочный результат, полученный по выражениям (2.17) и (2.31) показывает, что от порядка фильтра, отношение времен задержек практически не зависит и составит примерно пять, то есть у КИХ-фильтра с общей структурой время задержки будет примерно в пять раз меньше, чем у фильтра, функционирующего по алгоритму быстрой линейной свертки.

Так как на современной элементной базе одинаково успешно реализуются оба алгоритма, а время обработки становится значительно более критичным, было решено реализовать КИХ-фильтр. Также было решено серьезно модифицировать структуру КИХ-фильтра общего вида (разбив вычисление свертки на 4 параллельных потока, а также применив альтернативный способ умножения комплексных чисел, позволяющий использовать 3 умножителя вместо 4, подробнее алгоритм работы устройства будет рассмотрен далее). Данные модификации позволяют немного снизить затраты аппаратных ресурсов, при вычислениях на параллельной архитектуре.

2.4 Выводы


В процессе работы были получены результаты, показывающие, что даже при умеренных порядках рассматриваемых цифровых фильтров, несмотря на меньшую требовательность к аппаратным ресурсам фильтра с конечной импульсной характеристикой, функционирующего по алгоритму быстрой свертки, необходимо использовать согласованный модифицированный КИХ-фильтр. Далее кратко приведены результаты работы:

1)       выигрыш в производимом количестве операций фильтра на основе быстрой свертки при длине импульсной характеристики 256 отсчетов получается больше семи раз.

2)      для количества отсчетов импульсной характеристики, которое используется в работе, Nh = 256 выигрыш БС-фильтра в количестве сумматоров составляет примерно 10 раз, а умножителей в 14 раз, но для построения КИХ-фильтра будет затрачено примерно в 6 раз меньше ЛЗ и всего в 1,5 раза меньше объем ПЗУ. Для модифицированного КИХ-фильтра умножителей затрачивается примерно в 10 раз больше.

)        у КИХ-фильтра с общей структурой время задержки будет примерно в пять раз меньше, чем у БС-фильтра.

На основании полученных результатов можно сделать вывод о том, что в рамках данной работы целесообразнее использовать модифицированный согласованный КИХ-фильтр, однако для получения максимального выигрыша во времени необходимо использовать ПЛИС для реализации алгоритмов, т.к. ПЛИС позволяет выполнять множество вычислений параллельно.

3. Разработка технического задания

 

.1 Техническое задание на разработку модуля сжатия сложных сигналов


В соответствии с заданием на диплом и общими требованиями, предъявляемыми к разрабатываемым приборам на предприятии, составляем техническое задание на модуль сжатия сложных сигналов.

.        Функциональное назначение

Модуль является составной частью системы ЦОС и предназначен для цифровой обработки (сжатия) эхо-сигналов.

. Состав

В состав ячейки входят следующие функциональные части:

.1 Управления и синхронизации.

Функции:

·        синхронизации от внешних сигналов;

·        формирование сигналов синхронизирующих серий;

·        формирование сигналов временной развертки;

·        формирование сигналов управления.

.2 Память квадратур опорной функции.

Функции:

·        хранение копии квадратур зондирующего сигнала;

.3 Память квадратур тестовых ЛЧМ сигналов.

Функции:

·        хранение копии квадратур тестовых сигналов;

.4 Приема эхо-сигналов.

Функции:

·        прием и распаковка эхо-сигналов основного канала;

·        коммутация эхо-сигналов двух входных каналов с тестовым ЛЧМ сигналом из памяти;

.5 Вычисления свертки.

Функции:

·        Вычисление свертки принятых эхо-сигналов с опорной функцией длиной до 256 отсчетов.

. Требования назначения

.1 Основные технические характеристики модуля:

.1.1 В устройстве должны реализовываться следующие функции:

·        хранение квадратур опорной функции (копии) для разных ДЗИ;

·        хранение 13-ти разрядных кодов тестовых ЛЧМ сигналов с параметрами, соответствующими кодам опорной функции, передача тестового сигнала на внутренний коммутатор «тестовый ЛЧМ - внешний сигнал» в режиме отладки и контроля в соответствии с кодами управления и по внешней команде “Контроль”;

·        прием 13-разрядных(12р+знак) квадратур входных сигналов двух каналов и коммутация их с сигналом тестового ЛЧМ сигнала для выдачи на выход ячейки соответственно для рабочего и отладочного режимов; вид кодов прямой со знаком, частота следования каждой квадратуры 1.2 МГц. Период приема входных сигналов расположен от импульса НРД до КРД.

·        сжатие принятых эхо-сигналов (вычисление свертки входного сигнала с опорной функцией в соответствии с ДЗИ);

.2 Входные сигналы модуля сжатия

.2.1 На вход опорного напряжения поступает сигнал типа меандр с параметрами:

частота, МГц                                                                        24 ± 0,1;

- скважность                                                                         50%±5%;

- уровень напряжения…………………….………………………… ТТЛ;

уровень активности………………….………………………… верхний;

.2.2 На входы синхронизации поступают сигналы:

НУМП -начало угломестного положения, фазирующий сигнал,

с параметрами:

период повторения, мс

не менее ….……………………………… 2;

не более ….………………...…………… 20;

длительность импульса, период М2-4 ,

не менее ….………..……………...………. 1;

не более …….…………….……………… 2;

уровни напряжения……………….………………...……………… ТТЛ;

уровень активности…………….…………………………...…… нижний;

НРД - начало рабочей дистанции,

с параметрами:

период повторения, мс

кратен периоду серии М1_2;

длительность импульса,

один период М1-2;

уровни напряжения……………….……………….……… LVTTL/CMOS;

уровень активности………………………………………...….… нижний;

КРД - конец рабочей дистанции ЛЧМ сигнала, определяет момент закрытия приемника, т. е. поступления на вход ячейки квадратур сигналов ЛЧМ зоны,

с параметрами:

период повторения, мс                                      кратен периоду серии М1_2;

длительность импульса,                                    один период М1_2;

уровни напряжения……………….……………………… LVTTL/CMOS;

уровень активности……………….………………………………. нижний;

Код ДЗИ - цифровой код, определяющий длительность обрабатываемого ЛЧМ сигнала,

с параметрами:

разрядность …………………………………………………….………… 5;

задержка смены относительно сигнала НУМП периодов серии М1_2,

не более……………………..……………. 5-6;

не менее………………………….…………. 4;

уровни напряжения………………………………………LVTTL/CMOS;

уровень активности………………….……………..……….…верхний;

Вход X, Вход Y - цифровые коды квадратур сигналов основного канала сжатия, с параметрами:

разрядность …………………………………………….……………… 13;

вид кода………………………………………………………….. прямой;

знаковый разряд………………………………………………………….13;

частота смены отсчетов квадратур…………………..………….. 1,2 МГц;

уровни напряжения……………….……………….……… LVTTL/CMOS;

уровень активности………………….……………………...….… верхний;

.3 Выходные сигналы модуля сжатия

.3.1 Устройство должно формировать следующие сигналы

Выход Xсж, Выход Yсж - цифровые коды квадратур сжатых сигналов,

с параметрами:

разрядность …………………………………………….………………28;

вид кода………………………………………………………….. прямой;

знаковый разряд…………………………………………………………. 28;

частота смены отсчетов квадратур…………………..………….. 1,2 МГц;

уровни напряжения……………….……………….……… LVTTL/CMOS;

уровень активности………………………………………...….… верхний;

.        Требования радиоэлектронной защиты

.1 В части радиоэлектронной защиты модуль сжатия должен соответствовать требованиям РУК УВАИ.461311.020.

.2 При разработке модуля сжатия должны быть обеспечены меры, предусмотренные ОТТ 1.1.3, приложение 4 (СФ 16356) и РД В 107.460401.001 по электромагнитной совместимости, помехозащищенности и защите от электромагнитных излучений.

.        Требования живучести и стойкости к внешним воздействиям

.1 Модуль сжатия по стойкости, прочности и устойчивости к воздействию климатических и механических факторов должен соответствовать ГОСТ РВ 20.39.304 со следующими уточнениями:

пониженная температура среды - минус 500С;

повышенная температура среды - плюс 650С;

относительная влажность - 98% при температуре 350С;

синусоидальная вибрация: ускорение - 3g, диапазон частот - 1-500 Гц;

механический удар многократного действия: пиковое ударное ускорение - 15g, длительность действия ударного ускорения - 5…10 мс;

размещение на высоте до 2000 м над уровнем моря.

.        Конструктивные требования

.1 Модуль должен быть выполнен на печатной плате размером 200´170.

.2 Печатная плата может должна быть многослойной со сплошными полигонными слоями цепей питания и земли.

.3 Должен быть использован разъем СНП269-224ВП41-4 РЮМК.430420.006 ТУ.

.4 Питание модуля должно осуществляться от внешних источников напряжением +5,0В и 3,3В.

.5 На печатной плате должны быть установлены разъемы типа СНП346-10ВП22-2-В РЮМК.430420.011ТУ для программирования.

.        Требования технологичности

.1 Уровень выполнения требований по технологичности модуля в соответствии с ГОСТ 107.15.2010 - 86 должен быть не менее единицы.

.2 Конструкторская документация должна соответствовать общим правилам технологичности по ГОСТ 14.201-83.

.        Требования надежности

.1 Модуль сжатия должен обеспечивать следующие показатели долговечности:

Средний ресурс до капитального ремонта, тыс. ч, не менее - 25;

Средний срок службы до капитального ремонта, лет, не менее -15;

.2 Средняя наработка на отказ, не менее, ч - 50000;

.3 Устойчивая работа при изменениях напряжения во вторичном питании ± 10%.

.        Требования к эксплуатации, хранению, удобству технического обслуживания и ремонту

.1 Требования по техническому обслуживанию должны соответствовать РУК УВАИ.461311.020.

9.3 Работоспособность должна проверяться при помощи встроенной аппаратуры контроля.

10.     Требования по метрологическому обеспечению

.1 Метрологическое обеспечение должно соответствовать требованием СТП УВАИ 8.001-99.

10.2 Контрольно-измерительные приборы должны быть стандартизованы, обеспечены поверкой и входить в государственный реестр и «Перечень средств измерений» Заказчика.

11.     Требования к упаковке и маркировке

.1       Требования к упаковке и маркировке должны соответствовать ГОСТ Р 20.39.309-98.

.2       Требования к таре не предъявляются.

. Требования защиты государственной тайны

.1 Требования не предъявляются.

3.2 Выводы


В данной главе было составлено техническое задание на разработку модуля сжатия сложных ЛЧМ сигналов. Были определены уровни напряжений входных и выходных сигналов, определены основные характеристики синхронизационных и информационных сигналов. Учтены и указаны основные требования по надежности, радиоэлектронной защите, живучести, стойкости, технологичности, защите государственной тайны, метрологическому обеспечению, а также требования к эксплуатации, хранению, техническому обслуживанию, упаковке и маркировке.

4. Разработка структурной схемы модуля и выбор элементной базы

 

.1 Структурная схема модуля


Согласно техническому заданию составим структурную схему модуля:

Рисунок 4.1 Структурная схема модуля сжатия ЛЧМ сигналов

Рассмотрим коротко принцип работы устройства. Блок вычисления сверточных сумм начинает свою работу при поступлении сигнала «НРД» ( начало рабочей дистанции ) и завершает по «КРД» ( конец рабочей дистанции ). Отсчеты квадратур, поступающие на вход этого блока, записываются в 1 элемент массива из 256 элементов, при этом данные, находящиеся в этом массиве сдвигаются вправо, с потерей последнего элемента. Такая структура представляет собой аналог многоотводной линии задержки. Далее массив условно разбивается на 4 части по 64 элемента. Каждая из частей затем сворачивается с соответствующим участком импульсной характеристики (копии сигнала). Эти 4 потока вычисляются параллельно относительно друг друга. Для каждого из этих потоков единовременно необходимо иметь 2 отсчета импульсной характеристики (итого - 8), соответственно, необходимо заранее загрузить данные из ПЗУ в 4 ОЗУ. Эту процедуру организует контроллер оперативной памяти. Выполнение операции комплексного умножения с накоплением (базовая операция при вычислении свертки) в каждой из этих частей происходит последовательно, однако с высокой тактовой частотой (96МГц). Такая тактовая частота позволяет выполнить всю операцию свертки менее чем за 0.7мкс, в то время как период смены отсчетов квадратур составляет 0.833мкс, следовательно, устройство работает полностью в режиме «реального времени». По завершении вычисления сверточных сумм, результат суммируется для каждой квадратуры.

Необходимо отметить, что в зависимости от кодограммы «ДЗИ» (длина зондирующего импульса) контроллер оперативной памяти выбирает область ПЗУ с соответствующей копией сигнала. Переключение в тестовый режим происходит при поступлении сигнала «Тест». В таком режиме на вход блока сжатия поступают отсчеты квадратур не со входа модуля, а из ПЗУ с записанным эталонным ЛЧМ сигналом.

 

.2 Выбор элементной базы


В течение последних лет, когда для многих разработчиков аппаратуры ЦОС стало ясно, что программируемые логические интегральные схемы (ПЛИС) - это удобная в освоении и применении элементная база, альтернативы которой зачастую не найти. Последние годы характеризуются резким ростом плотности упаковки элементов на кристалле, многие ведущие производители либо начали серийное производство ПЛИС с эквивалентной емкостью более 1 миллиона логических вентилей. Цены на ПЛИС неуклонно падают.

Приведем известную классификацию ПЛИС по структурному признаку, т.к. она дает наиболее полное представление о классе задач, пригодных для решения на той или иной ПЛИС. Следует заметить, что общепринятой оценкой логической емкости ПЛИС является число эквивалентных вентилей, определяемое как среднее число вентилей 2И-НЕ, необходимых для реализации эквивалентного проекта на ПЛИС и базовом матричном кристалле (БМК). Понятно, что эта оценка весьма условна, поскольку ПЛИС не содержат вентилей 2И-НЕ в чистом виде, однако для проведения сравнительного анализа различных архитектур она вполне пригодна. Основным критерием такой классификации является наличие вид и способы коммутации элементов логических матриц. По этому признаку можно выделить следующие классы ПЛИС.

Программируемые логические матрицы - наиболее традиционный тип ПЛИС, имеющий программируемые матрицы “И” и “ИЛИ” В зарубежной литературе соответствующими этому классу аббревиатурами являются FPLA (Field Programmable Logic Array) и FPLS (Field Programmable Logic Sequencers). Недостаток такой архитектуры - слабое использование ресурсов программируемой матрицы “ИЛИ”, поэтому дальнейшее развитие получили микросхемы, построенные по архитектуре программируемой матричной логики (Зарубежная аббревиатура - PAL от Programmable Array Logic) - это ПЛИС, имеющие программируемую матрицу “И” и фиксированную матрицу “ИЛИ”. К этому классу относится большинство современных ПЛИС небольшой степени интеграции. В качестве примеров можно привести отечественные ИС КМ1556ХП4, ХП6, ХП8, ХЛ8, ранние разработки (середина - конец 80-х годов) ПЛИС фирм «Intel». «Altera», «AMD», «Lattice» и др. Разновидностью класса ПМЛ являются ПЛИС, имеющие только одну (программируемую) матрицу «И», например, схема 85С508 фирмы «Intel», Следующий традиционный тип ПЛИС - программируемая макрологика. Они содержат единственную программируемую матрицу «И-НЕ» или «ИЛИ-НЕ», но за счет многочисленных инверсных обратных связей способны формировать сложные логические функции. К этому классу относятся, например, ПЛИС PLHS501 и PLHS502 фирмы «Signetics», имеющие матрицу «И-НЕ», а также схема XL78C800 фирмы «Exel», основанная на матрице «ИЛИ-НЕ».

Вышеперечисленные архитектуры ПЛИС, содержащие небольшое число ячеек, к настоящему времени морально устарели и применяются для реализации относительно простых устройств, для которых не существует готовых ИС средней степени интеграции. Естественно, для реализации алгоритмов ЦОС они не пригодны.

ИС ПМЛ (PLD) имеют архитектуру, весьма удобную для реализации цифровых автоматов. Развитие этой архитектуры - программируемые коммутируемые матричные блоки (ПКМБ) - это ПЛИС, содержащие несколько матричных логических блоков (МЛБ), объединенных коммутационной матрицей. Каждый МЛБ представляет собой структуру типа ПМЛ, т. е. программируемую матрицу «И», фиксированную матрицу «ИЛИ» и макроячейки. ПЛИС типа ПКМБ, как правило, имеют высокую степень интеграции (до 10000 эквивалентных вентилей, до 256 макроячеек). К этому классу относятся ПЛИС семейства МАХ5000 и МАХ7000 фирмы «Altera», схемы ХС7000 и ХС9500 фирмы «Xilinx», а также большое число микросхем других производителей («Atmel», «Vantis», «Lucent» и др.). В зарубежной литературе они получили название Complex Programmable Logic Devices (CPLD).

Другой тип архитектуры ПЛИС - программируемые вентильные матрицы (ПВМ), состоящие из логических блоков (ЛБ) и коммутирующих путей - программируемых матриц соединений. Логические блоки таких ПЛИС состоят из одного или нескольких относительно простых логических элементов, в основе которых лежит таблица перекодировки (ТП - Look-Up Table, LUT), программируемый мультиплексор, D-триггер, а также цепи управления. Таких простых элементов может быть достаточно большое количество, у современных ПЛИС емкостью до 1 миллиона вентилей число логических элементов достигает нескольких десятков тысяч. За счет такого большого числа логических элементов они содержат значительное число триггеров. Также некоторые семейства ПЛИС имеют встроенные реконфигурируемые модули памяти (РМП -Embedded Array Block - EAB), что делает ПЛИС данной архитектуры весьма удобным средством реализации алгоритмов цифровой обработки сигналов, основными операциями в которых являются перемножение, умножение на константу, суммирование и задержка сигнала. Вместе с тем, возможности комбинационной части таких ПЛИС ограничены, поэтому совместно с ПВМ применяют ПКМБ (CPLD). В зарубежной литературе такие ПЛИС получили название Field Programmable Gate Array.

Множество конфигурируемых логических блоков (Configurable Logic Blocks, CLBs) объединяются с помощью матрицы соединений. Характерными для FPGA архитектур являются элементы ввода-вывода (Input/Output Blocks, lOBs), позволяющие реализовать двунаправленный ввод/вывод, третье состояние и т. п.

Особенностью современных ПЛИС является возможность тестирования узлов с помощью порта JTAG (B-scan), а также наличие внутреннего генератора и схем управления последовательной конфигурацией. Фирма «Altera» пошла по пути развития FPGA архитектур и реализовала в семействе CYCLONE быстродействующие блоки умножения 18х18(до 150 блоков на одной ПЛИС). Дальнейшее развитие архитектур идет по пути создания комбинированных архитектур, сочетающих удобство реализации алгоритмов ЦОС на базе таблиц перекодировок и реконфигурируемых модулей памяти, характерных для FPGA структур и многоуровневых ПЛИС с удобством реализации цифровых автоматов на CPLD архитектурах. Так, ПЛИС EP2C(Cyclone II) фирмы «Altera» сочетают в себе фактически все вышеперечисленные достоинства, что позволяет применять ПЛИС как основную элементную базу для «систем на кристалле» (SOC). В основе идеи SOC лежит интеграция всей электронной системы в одном кристалле (например, в случае ПК такой чип объединяет процессор, память и т. д.). Компоненты этих систем разрабатываются отдельно и хранятся в виде файлов параметризируемых модулей. Окончательная структура SOC-микросхемы выполняется на базе этих «виртуальных компонентов» с помощью систем автоматизации проектирования (САПР) электронных устройств EDA (Electronic Design Automation). Благодаря стандартизации в одно целое можно объединять «виртуальные компоненты» от разных разработчиков.

Основная цель заключается в выборе такой элементной базы, которая позволила бы выполнить данное техническое задание с учётом всех технических требований. При выборе элементной базы устройства необходимо руководствоваться следующими критериями:

·    быстродействие;

·        логическая емкость, достаточная для реализации структуры;

·        стоимость оборудования для программирования ПЛИС или конфигурационных ПЗУ;

·        наличие методической и технической поддержки;

·        потребление энергии;

·        требования к спецфакторам;

·        стоимость микросхем.

Таким образом, реализация устройства на микросхемах будет достаточно сложна и не дешева из-за своей громоздкости, потребляемой мощности, отсутствия возможности программирования и затрат на производство. Реализация устройства на микропроцессоре также нецелесообразна, поскольку при использовании микропроцессора будет тратиться достаточно много времени для обращения к внешней памяти для считывания выполняемой программы и данных.

Альтернативой микросхемам и микропроцессору в данном случае может послужить ПЛИС. Учитывая данные критерии отбора, для реализации устройства сжатия остановимся на элементной базы фирмы «Altera» и САПР Qaurtus II v. 9.0, поскольку САПР фирмы Altera гораздо более доступны для пользователей, нежели, например, аналогичные САПР Xilinx. Наличие качественных САПР и общепринятых индустриальных стандартов (JTAG) даёт возможность конфигурирования микросхем ПЛИС напрямую из САПРа, что делает процесс проектирования удобным, быстрым, обеспечивает возможность переноса проекта на различные микросхемы ПЛИС, причем процесс разработки аппаратно независим. Сделаем свой выбор в пользу семейства микросхем серии EP2C70 , поскольку данное семейство микросхем, по заявлению разработчиков, создавалось и оптимизировалось с учетом возможности эффективной реализации алгоритмов ЦОС и является достаточно высокопроизводительным. Максимальная тактовая частота для данной серии составляет около 260 МГц. В техническом задании задано период следования отсчётов t = 0.833 мкс, иначе, тактовая частота составляет f = 1/ 0.833 мкс = 1.2 МГц. Поэтому возможность данной серии по тактовой частоте превышает необходимые требования к техническому заданию.

Особенности микросхем семейства CYCLONE II (EP2C)

·              Расширеные возможности встроенных блоков памяти.

·              Высокая логическая емкость. Логический массив позволяет реализовать цифровые функций общего назначения:

o     От 4,608 до 68,416 логических элементов;

o     До 1,152 Кбит внутренней памяти ПЛИС, которые могут быть использованы без уменьшения логической емкости;

·              Решение по низкой цене для высокопроизводительных приложений;

·              Особенности системного уровня:

o     MultiVolt I/O контакты могут управлять или управляться устройствами с напряжением питания 1.5В, 1.8В, 2.5В или 3.3В;

o     Низкое энергопотребление;

o     Производительность двунаправленных контактов Ввода/Вывода до 260 МГц;

o     Полная совместимость с PCI Local Bus Specification, Revision 3 для 3.3В при 33МГц или 66МГц;

o     Полная совместимость с PCI Express x1

o     Полная совместимость PCI-X1 133 МГц;

o     Поддержка высокоскоростной внешней памяти, включая DDR и DDRII;

o     Встроенная схема граничного сканирования JTAG совместимая с IEEE 1149.1-1990, доступна без использования дополнительной логики;

o     Вычислительное ядро микросхемы работает от напряжения питания 1.2В;

o     Неограниченное число реконфигураций от внешних загрузочных ПЗУ, с помощью контроллера или через JTAG порт;

o     Специальные цепи для тактирующих сигналов (до 16 глобальных тактирующих цепей);

o     Полный 100% функциональный тест всех микросхем;

·              Организация программируемых межсоединений:

o     FastTrack межсоединения - непрерывная линии связи, обеспечивающие быстрые и предсказуемые задержки;

o     Цепи переноса, позволяющие быстро выполнять арифметические функции сложения, счета и сравнения (автоматически используются программным обеспечением и мегафункциями);

o     Цепи каскадирования, позволяющие выполнять реализовывать высокоскоростные логические функции с большим количеством переменных (автоматически используются программным обеспечением и мегафункциями);

o     Эмуляция третьего состояния, позволяющая реализовывать внутренние шины с высокоимпедансным состоянием;

·              Особенности контактов Ввода/Вывода:

o     Управление третьем состоянием для каждого контакта;

o     Функция открытого коллектора (стока) для каждого контакта Ввода/Вывода;

o     Программируемая скорость изменения фронта выходного сигнала позволяет уменьшать шумы при переключении;

o     Программируемые clamp диоды, подключающиеся к VCCIO;

o     Поддержка горячего включения (возможность задания произвольной последовательности подачи сигналов и напряжений питания);

·              Доступны корпуса с количеством контактов от 144 до 896, включая FineLine BGA корпуса;

·              Дополнительные возможности по вводу проекта и моделированию обеспечиваются использованием файлов списка соединений EDIF 200 и 300, библиотеки параметризированных модулей (LPM), компонентов DesignWare, Verilog HDL, VHDL, других интерфейсов с популярными EDA средствами от производителей Cadence, Mentor Graphics, OrCAD, Synopsys и Synplicity.

Сравнение микросхем типа CYCLONE II приведено в таблице 4.1.

Таблица 4.1

Ресурсы ПЛИС

EP2C5

EP2C8(A)

EP2C20(A)

EP2C35

EP2C50

EP2C70

Логический объем и быстродействие

Кол-во логических элементов

4,608

8,256

18,752

33,216

50,528

68,416


Объем встроенного ОЗУ (Кбит)

120

166

240

484

594

1,152


Показатели быстродействия (speed grade)

-6, -7, -8

Особенности

Поддержка синтезируемых процессорных ядер

NiosII


Кол-во встроенных умножителей 18 x 18-бит / 9 x 9-бит

13/26

18/36

26/52

35/70

86/172

150/300


Регистры ввода-вывода в элементах ввода-вывода

+

+

+

+

+

+


Блоки двухпортового ОЗУ

+

+

+

+

+

+


Кол-во глобальных и локальных цепей тактирования

8

8

16

16

16

16


Кол-во PLL / выходов PLL

2/6

2/6

4/12

4/12

4/12

4/12

Доступность

Доступность в индустриальном температурном исполнении

+

+

+

+

+

+


Доступность в бессвинцовом исполнении

+

+

+

+

+

+

Ресурсы ПЛИС

EP2C5

EP2C8(A)

EP2C20(A)

EP2C35

EP2C50

EP2C70

Подсистема ввода-вывода

Поддерживаемые уровни напряжения ввода-вывода (B)

1.5, 1.8, 2.5, 3.3


Поддерживаемые стандарты ввода-вывода

LVDS,



RSDS,



Mini-LVDS,



LVPECL,



Differential SSTL-18 (I & II),



Differential SSTL-2 (I & II),



1.5-V Differential HSTL (I & II),



1.8-V Differential HSTL (I & II),



SSTL-18 (I & II),



SSTL-2 (I & II),



1.5-V HSTL (I & II),



PCI,



PCI-X,



PCI Express (4),



LVTTL,



LVCMOS


Максимальная скорость обмена данными по LVDS (Mbps) (Прием/Передача)

805/640

805/640

805/640

805/640

805/640

805/640


Кол-во каналов LVDS

60

79

136

209

197

265


Максимальная скорость передачи данных по RSDS/Mini-LVDS (Mbps)

311

311

311

311

311

311


Программируемая нагрузочная способность выходов

+

+

+

+

+

+

Поддержка интерфейсов внешней памяти

Поддерживаемые интерфейсы внешней памяти

QDRII, DDR2, DDR, SDR


Наличие IP-ядер контроллеров внешней памяти

+

+

+

+

+

+


Поддержка временным анализатором

+

+

+

+

+

+


Руководство по конструированию печатных плат

+

+

+

+

+

+

 

.3 Выводы


В этой главе был проведен анализ существующих архитектур построения ПЛИС, а также рассмотрены основные их особенности. Обосновывается выбор элементной базы для построения устройства (ПЛИС фирмы ALTERA серии CYCLONE II (EP2C70) полностью удовлетворяющая поставленным в задании условиям). Подробно приведены основные характеристики данного семейства ПЛИС. Также приведена сравнительная таблица основных представителей серии.

5. Реализация модуля сжатия ЛЧМ сигналов на базе ПЛИС

 

.1 Функциональная схема устройства


Синтез функциональной схемы модуля, её дальнейшее тестирование и анализ производится с применением пакета Quartus II v. 9.0, предназначенным для реализации проектов на микросхемах фирмы “Altera”. Функциональная схема может быть выполнена различными способами, различающимися как по объему занимаемых ресурсов ПЛИС, так и по быстродействию. Синтезируемая схема должна обеспечивать требование технического задания по быстродействию, при минимуме требуемых для ее реализации ресурсов ПЛИС. Проведём синтез функциональной схемы модуля на основе структурной схемы.

Синтез схемы необходимо начать с описания необходимых элементов для её построения. Объем постоянной внутренней памяти, необходимый для реализации данного устройства 31 232 бит. Поясним распределение постоянной памяти модуля:

·         2 блока памяти на 256 слов по 13 бит для записи квадратур эталонного ЛЧМ;

·        2 блока памяти на 2048 слов по 6 бит для записи квадратур различных по длительности копий сигнала;

Из таблицы 5.1 видно, что выбранные объемы превосходят требуемые, однако такой выбор был сделан для обеспечения более простой реконфигурации, в случае модернизации устройства. Потребуется лишь заменить файлы памяти ПЗУ.

Таблица 5.1


Требуется согласно ТЗ

Реализовано в устройстве

Объем ПЗУ эталонного ЛЧМ сигнала, бит

2х205

2х256




Объем ПЗУ копий ЛЧМ сигнала, бит

2х1280

2х2048





Также необходимо 4 модуля ОЗУ, для обеспечения параллельного доступа к отсчетам ИХ (копии сигнала). Каждое ОЗУ, двойное на 128 слов (т.е. фактически 4 независимых модуля ОЗУ), разрядность ОЗУ - 12бит, младшие 6 бит - отсчет действительной части комплексной огибающей, старшие 6 бит - отсчет мнимой части комплексной огибающей копии ЛЧМ сигнала. Итого на ОЗУ необходимо 3072 бита. В целом необходимо 34 304 бит памяти.

В кристалле выбранной ПЛИС имеется 1152 Кбит памяти, а для нашего проекта требуется 34,304 Кбит.

Поскольку необходимо переключать виды сигнала, то используем для этой задачи мультиплексоры. Соответственно необходимо:

·        2 мультиплексора для действительной и мнимой части, чтобы переключаться между поступающим эхо-сигналом и эталонным ЛЧМ сигналом;

·        1 мультиплексор, чтобы коммутировать сигнал «НУМП», пропуская на «синхроблок» либо реально поступающий, либо вырабатываемый в тестовом режиме;

Необходимо также два счетчика для считывания из ПЗУ тестового сигнала и для формирования тестового сигнала «НУМП». Остальные блоки синтезируются в САПР Quartus II из кода, написанного на языке VHDL(код приводится в приложении) или же содержат в себе еще одну блок-схему с другими блоками/элементами. Имея необходимые элементы структуры, составим функциональную схему. Схема представлена на рисунке 5.1 и в приложении «Г».

Поясним принцип работы схемы. Для начала работы всего модуля необходимо, чтобы на вход «CLK» поступал тактирующий сигнал с частотой 24МГц, который впоследствии преобразуется в блоке «pll» в 96МГц, далее необходимо поступление фазирующего сигнала «НУМП» на блок «Syndrv», одновременно с этим поступает 5-и разрядная кодограмма «ДЗИ», по которой выбирается область ПЗУ с необходимой копией ЛЧМ сигнала. После чего для начала работы блока вычисления свертки необходимо поступление активного уровня на вход «NRD» блока «COREL_MEM».

Блок «COREL_MEM» содержит внутри себя еще одну блок схему, которая позже будет рассматриваться подробно, этот блок имеет два 13-и разрядных входа для квадратур входного сигнала, вход CLK (24МГц), вход CLK_160(96МГц), входы «NRD», «KRD», 5-и разрядный вход «DZI», а также 20-и разрядный вход MS, где на шину MS фактически подается значение со сдвигового регистра (из блока «Syndrv»), что позволяет получить из 24МГц различные серии импульсов с частотами 1,2МГц, 2,4МГц, 4,8Мгц, необходимые для корректной работы блока «COREL_MEM».

Следует отметить, что сигналы «НРД» и «КРД» проходят через схему выделения фронта. Далее, если сигнал «ТЕСТ» отсутствует ( на входе «TEST» логический «0») входные данные поступают на блок «COREL_MEM» с 13-и разрядных входов «in_X», «in_Y», в котором и происходит процесс сворачивания входных квадратур с соответствующими отсчетами копии ЛЧМ сигнала. В случае, если на входе «TEST» логическая «1», то на вход блока «COREL_MEM» поступают квадратуры, считанные из ПЗУ с тестовым сигналом.

Рисунок 5.1 Функциональная схема модуля сжатия

Блоки «lpm_romX» и «lpm_romY» - ПЗУ, каждое на 256 13-и разрядных слов. В эти блоки синтезированы с помощью т.н. «мегафункций» - встроенный в САПР инструмент, позволяющий посредством графического интерфейса и диалоговых окон синтезировать блоки ПЗУ, ОЗУ, pll и т.д. Таким образом, была рассмотрена работа функциональной схемы устройства в целом.

Рассмотрим функциональную схему блока «COREL_MEM» представленную на рисунке 5.2.

Рис 5.2 Функциональная схема блока «COREL_MEM»

На этой схеме представлены два блока «correlation» и «mem_buffer». В первом, после поступления единичного строба на вход «NRD» начинается процесс вычисления свертки для сигнала длиной до 256 отсчетов. Процесс разбит на 4 параллельных вычисления сверточных сумм по 64 отсчета, за каждый такт CLK_160 (96МГц) вычисляются 8 отсчетов (4 для мнимой и 4 для действительной части) выходного сигнала. Квадратуры принятого эхо-сигнала или тестового сигнала поступают на 13 разрядные входы In_X, In_Y. В блоке отсчеты этих квадратур записываются в первый элемент сдвигового массива на 256 элементов для каждой квадратуры. При каждом такте поступления отсчётов (1,2МГц) элементы этого массива сдвигаются вправо (увеличивают на 1 порядковый номер в массиве, последний соответственно выпадает). В процессе вычисления свертки элементы этого массива комплексно перемножаются с отсчетами копии сигнала, которые поступают на четыре 12-и разрядных входа CD1, CD2, CD3, CD4 (в каждом 12 разрядном слове старшие 6 бит - мнимая часть, а младшие 6 бит - соответственно, действительная). Результат перемножения накапливается для каждого из 4 параллельных процессов, а впоследствии складывается, формируя отсчеты выходного сигнала. Синтез блока произведен из VHDL кода, коды блоков приведены в приложении А.

Для того чтобы обеспечить работоспособность системы, необходимо, чтобы отсчеты поступали в порядке соответствующем формуле вычисления свертки (2.1). Для этого по шине addr_CD от блока «correlation» к блоку«mem_buffer» передается номер необходимого набора отсчетов. В соответствии с этим номером блок «mem_buffer» производит отправку необходимых отсчетов в соответствии с кодом «DZI». Функциональная схема этого блока представлена на рис 5.3

Рисунок 5.3 Функциональная схема блока «mem_buffer»

На этой схеме представлены два двойных ОЗУ, входы «wren_a» и «wren_b» - входы разрешающие запись в ОЗУ при поступлении 1, данные, расположенные адресу, поступающему на входы «addres_a» и «addres_b, доступны если на входах «wren_a» и «wren_b» установлен 0. Блоки «rm_coef», «RM_D» - ПЗУ, в которых хранится копия ЛЧМ сигналов различной длительности. Блоки ОЗУ также синтезированы с помощью мегафункций.

Блок «mem_drv» загружает в ОЗУ данные содержащие квадратуры сигнала, хранящиеся в ПЗУ, в зависимости от кодограммы «ДЗИ», загружаются данные из различных областей. При поступлении разрешающего сигнала на вход «CORR_ENA» блок начинает передавать по четырем 12-и разрядным шинам хранящиеся в ОЗУ отсчеты в соответствии с запросами от блока «correlation». Также блок вырабатывает стробы разрешения записи для блоков оперативной памяти.

Рассмотрев функциональную схему модуля сжатия сигналов, а также схемы отдельных функциональных блоков, перейдём к рассмотрению работы устройства и оценке быстродействия.

 

.2 Анализ работы и оценка быстродействия


Анализ работы устройства был произведен встроенным симуляционном комплексом САПРа Quartus II, а также логическим анализатором SignalTap II.

На рисунке 5.4 приведена временная диаграмма работы устройства, полученная посредством проведения моделирования во встроенном симуляционном комплексе.

Рисунок 5.4 Временная диаграмма работы модуля

Следует привести также диаграмму увеличенного масштаба в области пика сжатого ЛЧМ сигнала. Временная диаграмма работы модуля в области пика приведена на рисунке 5.5.

Рисунок 5.5 Временная диаграмма работы модуля в области пика

Из рисунка 5.5. видно, что числовое значение «X» квадратуры в пике АКФ равно 621484 единиц младшего разряда, а в первом боковом лепестке 4922, что соответствует уровню -44,0 дБ. Полученное значение полностью соответствует теоретически рассчитанному (рисунок 5.6.). В большем масштабе рисунок приведен в приложении «Д».

Рисунок 5.6 График теоретически рассчитанной и экспериментальной полученной ВКФ для ЛЧМ сигнала с базой 205, разрядностью 13 бит, и копией ЛЧМ сигнала разрядностью 6 бит.

Таким образом, результаты моделирования полностью совпадают с теоретически полученными. Построенные графики в точности совпадают.

Далее приведена временная диаграмма работы устройства сжатия, полученную с помощью приложения Signal Tap II.Tap II - средство внутрисистемной отладки, позволяющее через интерфейс JTAG, получать и анализировать любые сигналы в реальном устройстве.

Основные преимущества и особенности:

·        позволяет наблюдать почти любой внутренний или внешний сигнал;

·        частота выборок ограничена только быстродействием;

·        до 2048 каналов;

·        128к выборок на канал;

·        поддерживаются все FPGA семейства;

·        отслеживание состояний конечного автомата;

·        гибкая настройка моментов выборки.

Временная диаграмма работы модуля, полученная с помощью SignalTap II, приведена на рисунке 5.7.

Рисунок 5.7 Временная диаграмма работы модуля

На рисунке 5.7 приведены квадратуры входного ЛЧМ сигнала и модуль сжатого ЛЧМ сигнала. Числовое значение в пике так же равно 621484 единиц младшего разряда, что так же соответствует рассчитанному значению.

Произведём оценку быстродействия схемы. Так как проектирование проводилось в САПР Quartus II, нет необходимости оценивать быстродействие ручными способами. Для данного модуля быстродействие определено с помощью временного анализатора (Timing Analyzer Tool).

Из рисунка 5.8 видно, что максимальная рабочая частота составляет 136,61 МГц (по умолчанию схема тактируется сигналом с частотой 96 МГц).

Рисунок 5.8 окно Timing Analyzer Tool

Также необходимо привести наглядное изображение использования ресурсов ПЛИС. Это изображение получено с помощью встроенного в САПР инструмента Chip Planner и приведено на рисунке 5.9. На рисунке светло-голубые области - незадействованные в этом проекте области микросхемы. Наличие их в таком объеме - задел для модернизации модуля и/или добавления новых функций в устройство.

Рисунок 5.9 Использование ресурсов ПЛИС

 

.4 Выводы


В данной главе была разработана и полностью изложена функциональная схема модуля сжатия, подробно описан процесс работы модуля. Показаны различные способы синтеза блоков. Приведена таблица использования внутренней памяти микросхемы. Произведен анализ работы устройства, сравнение с теоретическими результатами. Кратко описан инструмент внутрисистемной отладки Signal Tap II. Приведены результаты работы устройства, полностью совпадающие с результатами, полученными теоретически. Также приведена оценка быстродействия модуля, показывающая полное удовлетворение условий работоспособности микросхемы по быстродействию. В завершении главы произведен расчет надежности модуля. Далее необходимо рассмотреть процесс конфигурирования ПЛИС.

6. Конфигурирование ПЛИС


Эта глава посвящена конфигурированию микросхем. Конфигурирование - это процесс переноса виртуально созданного устройства в САПРе в реально существующую оболочку, т. е. это процесс программирования ПЛИС. Существует несколько способов программирования. Рассмотрим наиболее распространённые из них:

·        PPA-конфигурирование (PPA-Passive Parallel Asynchronous) - конфигу-рирование с помощью микропроцессора и загрузочного кабеля;

·        JTAG-программирование и конфигурирование микросхем (JTAG - Joint Test Action Group - объединенная группа по вопросам тестирования цифровых схем) - конфигурирование с помощью интерфейса JTAG;

·        Конфигурирование с помощью загрузочного кабеля и конфигурационной микросхемы.

6.1 PPA - Конфигурирование


Пассивная параллельная асинхронная схема (PPA-Passive Parallel Asynchronous) применяется только для конфигурирования микросхем APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE,CYCLONE II.

В режиме PPA-конфигурирования микропроцессор направляет данные в микросхемы с помощью загрузочного кабеля. В схеме PPA-конфигурирования для устранения неопределенных состояний, не использующихся выводов необходимо обеспечить высокий уровень на выводе DCLK с помощью нагрузочного резистора 1 кОм.

Для начала конфигурирования микропроцессор должен установить сначала "1" на выводе nCONFIG, а затем "1" на выводах nCS и CS конфигурируемой микросхемы. Затем микропроцессор передает 8-битовое конфигурационное слово на входы данных конфигурируемой микросхемы и устанавливает "0" на выводе nWS. По фронту на выводе nWS, конфигурируемая микросхема защелкивает байт конфигурационных данных. Затем микросхема обрабатывает конфигурирующие данные, выдавая при этом сигнал "0" на выводе RDYnBSY. Во время обработки данных микросхемой микропроцессор может выполнять другие системные функции.

Дальше, микропроцессор проверяет состояние выводов nSTATUS и CONF_DONE. Если на выводе nSTATUS не "0" и вывод CONF_DONE не освобожден и подтянут к "1", - микропроцессор отправляет следующий байт данных. Если на выводе nSTATUS "0", микросхема сигнализирует о наличии ошибки и микропроцессор перезапускает процесс конфигурирования.

Если после передачи всех конфигурирующих данных на выводе nSTATUS устанавливается "0", значит, микросхема готова к началу инициализации. В начале инициализации, на выводе CONF_DONE устанавливается "1", чтобы показать завершение конфигурации. Схема PPA-конфигурирования приведена на рисунке 6.1. Выводы nCS и CS могут управляться дополнительным дешифратором адреса. Этот дешифратор позволяет микропроцессору выбирать микросхемы по локальным адресам.

Рисунок 6.1 Схема PPA-конфигурирования микросхем APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE, CYCLONE II

Примечания к рисунке 6.1 :

·      Вывод nCEO оставляется неподключенным;

·        Подтягивающий резистор должен быть подсоединен к тому же источнику напряжения, что и микросхемы APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE, CYCLONE II ;

Временные диаграммы PPA-конфигурирования микросхем приведены на рисунке 6.2

Рисунок 6.2 Временные диаграммы PPA-конфигурирования микросхем APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE, CYCLONE II

Примечания к рисунке 6.2 :

·        При включении питания до установления номинального напряжения VCC, вывод nSTATUS удерживается в "0" на время не более 5 мкс;

·        После конфигурирования уровни выводов CS, nCS, nWS, и RDYnBSY зависит от проекта;

Таблица 6.1 определяет временные параметры для микросхем семейства CYCLONE II для PPA конфигурирования.

Таблица 6.1

Временные PPA-параметры для ПЛИС ACEX 1K

Обозначение

Описание

Мин

Макс

Единицы измерения

tCF2WS

От установки nCONFIG в "1" до первого фронта на nWS

5


мкс

tDSU

Время установки данных перед фронтом DCLK

20


нс

tDH

Время удержания данных после фронта DCLK

0


нс

tCSSU

Время установки сигнала Chip select перед фронтом сигнала nWS

20


нс

tCSH

Время удержания сигнала Chip select перед фронтом сигнала nWS

10


нс

tWSP

Длительность импульса "0" на выводе nWS

200


нс

tCFG

Длительность импульса "0" на nCONFIG (2)

2


мкс

tWS2B


50

нс

tBUSY

Длительность импульса "0" на выводе RDYnBSY

0.4

1.6

мкс

tRDY2WS

От фронта RDYnBSY до спада nWS

50


нс

tWS2RS

От фронта nWS до спада nRS

200


нс

tRS2WS

От фронта nRS до спада nWS

200


нс

tRSD7

От спада nRS до допустимой длительности сигнала DATA7


50

нс

tCD2UM

Максимальное время до пользовательского режима CONF_DONE (3)

0.6

2

мкс

tSTATUS

Длительность импульса "0" на выводе nSTATUS

1


мкс

tCF2CD

От установления "0" на nCONFIG до установления "0" на CONF_DONE


200

нс

tCF2ST0

От установления "0" на nCONFIG до установления "0" на CONF_DONE


200

нс

tCF2ST1

От установления "1" на nSTATUS до первого фронта DCLK


4

мкс


Микропроцессор может также непосредственно контролировать сигналы nCS и CS. Вы можете привязать один из сигналов nCS и CS к его же активным состоянием (например, сигнал с вывода nCS может быть связан с низким уровнем), а другой сигнал используется для контроля конфигурирования.

Микросхемы APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE, CYCLONE II могут передавать данные последовательно без использования микропроцессора. Когда микросхемы готовы принять следующий байт конфигурационных данных они устанавливают "1" на выводе RDYnBSY. Когда на процессор поступает сигнал "1" при опросе RDYnBSY, микропроцессор отправляет в микросхему следующий байт конфигурационных данных. В другом варианте, сигнал на выводе nRS может выбираться "0", выдавая сигнал RDYnBSY для появления на выводе DATA.

Поскольку сигнал RDYnBSY не надо контролировать, использование nRS для контроля состояния конфигурационных данных экономит один системный I/O-вывод. Пока вывод nRS находится в состоянии "0", данные на вывод DATA не должны отправляться, потому что это может вызвать конфликт системы. Если вывод nRS не используется для контроля конфигурирования, то на нем устанавливается "1". Для упрощения конфигурирования микропроцессор может некоторое время до отправки следующего бита данных находиться в режиме ожидания на интервале времени: tBUSY(Max) + tRDY2WS +tW2SB.

После конфигурирования выводы nCS, CS, nRS, nWS, и RDYnBSY используются как пользовательские I/O-выводы. Однако при использовании PSA-схемы эти выводы по умолчанию являются трехстабильными в режиме заданным пользователем и должны управляться микропроцессором. PSA-схема может быть изменена в САПР MAX+PLUS II в опции "Global Project Device Option" или аналогичное окно "Device & Pin Option" в САПР QUARTUS II.

При обнаружении во время конфигурирования ошибки микросхемы APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE, CYCLONE II устанавливают "0" на выводе nSTATUS для предупреждения микропроцессора. Затем микропроцессор устанавливает "0" на выводе nCONFIG для реконфигурирования микросхемы. Аналогично если установлена опция Auto-Restart Configuration on Frame Error, ПЛИС освобождает вывод nSTATUS после окончания периода ожидания. При освобождении вывода nSTATUS, процессор может выполнить реконфигурирование ПЛИС. Поэтому для процессора не требуется установка "0" на выводе nCONFIG.

Для гарантии успешной конфигурирования микропроцессор также может контролировать выводы CONF_DONE и INIT_DONE. Вывод CONF_DONE должен контролироваться микропроцессором для обнаружения ошибок и определения завершения процесса программирования. Если после отправки всех конфигурационных данных и начала процессором инициализации, на вывод CONF_DONE не в должном состоянии - процессор должен реконфигурировать микросхемы.

6.2 JTAG-программирование и конфигурирование микросхем


Для загрузки конфигурационных данных в микросхемы может использоваться интерфейс JTAG. Для работы в JTAG-режиме используются четыре выделенных вывода: TDI, TDO, TMS, и TCK, и вспомогательный вывод TRST. Все остальные выводы во время JTAG-конфигурирования находятся в третьем состоянии. JTAG-конфигурирование нельзя начинать до завершения других режимов конфигурирования. Характеристика выводов JTAG приведена в таблице 6.2.

Таблица 6.2

Характеристика выводов интерфейса JTAG

Вывод

Описание

Функции

TDI

Вход тестовых данных

Вход последовательной загрузки инструкций, программирующих и тестовых данных. Данные синхронизируются фронтом импульсов на выводе TCK.

TDO

Выход тестовых данных

Последовательный выход инструкций, программирующих и тестовых данных. Данные синхронизируются спадом импульсов на выводе TCK. Если данные из микросхемы не поступают - вывод находится в третьем состоянии

TMS

Выбор режима тестирования (режим контроллера BST)

Вход управления режимом конечного автомата (контроллера) TAP. Конечный автомат синхронизируется фронтом сигнала на входе TCK. Поэтому состояние вывода TMS должно быть установлено перед фронтом сигнала TCK.

TCK

Тактовый Вход контроллера BST

Тактовый синхровход схемы BST. Некоторые операции синхронизируются фронтом, а некоторые спадом сигнала на TCK.

TRST (1)

Вход прекращения тестирования (дополнительный)

Вход асинхронного сброса схемы периферийного сканирования. "0" на выводе - сбрасывает BST-схему периферийного сканирования. Вывод TRST является дополнительным в соответствии со стандартом IEEE STD. 1149.1.

Примечания к таблице 6.2.1 :

·   Во время JTAG-конфигурирования конфигурационные данные загружаются в микросхему на печатной плате через разъемы кабелей MasterBlaster или ByteBlasterMV. Конфигурирование микросхем через кабель, аналогично ISP-программированию (программированию в системе) микросхем, за исключением подключения вывода TRST к напряжению VCC. Это соединение обеспечивает стабильную работу TAP-контроллера (см. рисунке 5.3).

Рисунок 6.3 JTAG-конфигурирование одиночных ПЛИС APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE, CYCLONE II

Примечания к рисунку 6.3 :

·        Подтягивающий резистор должен быть подключен к тому же источнику напряжения, что и загрузочный кабель;

·        Выводы nCONFIG, MSEL0 и MSEL1 должны быть присоединены в схемах, которые не используют JTAG. Если JTAG используется, вывод nCONFIG подключен к напряжению VCC, а выводы MSEL0 и MSEL1 соединяется с землей (GND) ;

·        Напряжение VIO является опорным напряжением выходного буфера MasterBlaster. Напряжение VIO должно быть согласовано с напряжением VCCIO ;

·        При конфигурировании одной микросхемы по схеме JTAG конфигурирующее программное обеспечение устанавливает все другие микросхемы в режим BYPASS (обход). В режиме BYPASS микросхема без изменений пропускает программирующие данные с вывода TDI на вывод TDO через обходной регистр. Это обеспечивает возможность программировать или верифицировать заданную микросхему. Конфигурационные данные, поступающие в микросхему появляются на выводе TDO с задержкой на один такт.

Микросхемы APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE, CYCLONE II имеют выделенные JTAG-выводы, которые всегда функционируют как выводы JTAG. JTAG-тестирование возможно до и после конфигурирования. В процессе конфигурирования тестирование невозможно.

Состояния глобальных выводов reset (cброс) и output enable (разрешение выхода) не оказывают влияния на операции периферийного сканирования BST или программирования. Подключение этих выводов не влияет на операции JTAG (отличающихся от обычных операций периферийного сканирования).

При разработке платы для JTAG-конфигурирования следует учитывать конфигурирующие выводы. Подключение этих выводов описано в таблице 6.3

Таблица 6.3

Сигнал

Описание

nCE

Для всех рассматриваемых микросхем в цепочке на этом выводе должен, обеспечен "0". Это можно сделать посредством соединения вывода с землей GND, подключением нагрузочного резистора, или же установкой "0" от внешней управляющей схемы.

nSTATUS

Напряжение вывода подтягивается к напряжению VCC c помощью нагрузочных резисторов 1 кОм или 10 кОм. При конфигурировании нескольких микросхем в одной JTAG-цепочке, напряжение на каждом выводе nSTATUS индивидуально подтягивается к напряжению VCC. (1)

CONF_DONE

Напряжение вывода подтягивается к напряжению VCC c помощью нагрузочных резисторов 1 кОм или 10 кОм. При конфигурировании нескольких микросхем в одной JTAG-цепочке, напряжение на каждом выводе CONF_DONE индивидуально подтягивается к напряжению VCC. (1)

nCONFIG

Управляется "1" путем подключения к напряжению VCC, подтягивания с помощью нагрузочного резистора или установкой "1" от управляющей схемы.

MSEL0, MSEL1

Эти выводы не должны быть в неопределенном состоянии. Эти выводы поддерживаются при любом используемом не JTAG-конфигурировании. При использовании только JTAG-конфигурировании, оба этих вывода необходимо подключить к земле.

DCLK

Эти выводы не должны быть в неопределенном состоянии. На них необходимо обеспечить "0" или "1".

DATA0

Эти выводы не должны быть в неопределенном состоянии. На них необходимо обеспечить "0" или "1".

TRST

Этот JTAG-вывод не присоединяется к загрузочному кабелю. Он должен быть в состоянии "1".

Примечания к таблице 6.2.2 :

·        Появление "0" во время JTAG-конфигурирования на выводе nSTATUS означает, что произошла ошибка. Конфигурирование считается успешным, если по окончании конфигурирования на выводе CONF_DONE будет "1".

6.3 Конфигурирование ПЛИС с помощью загрузочного кабеля и конфигурационной микросхемы


На рисунке 6.4. выполняется совместное конфигурирование восьми ПЛИС одними данными. Напряжение VCC должно быть подключено к тому же источнику напряжения что и конфигурационная микросхема. Все показанные на схеме подтягивающие и снижающие нагрузочные резисторы - 1 кОм. Для микросхем APEX 20KE и APEX 20KC подтягивающий резистор на выводах nSTATUS и CONF_DONE должен быть 10 кОм. Выводы OE, nCS и nINIT_CONF микросхем EPC16, EPC8 и EPC2 имеют внутренние конфигурируемые пользователем подтягивающие резисторы. При использовании внутреннего резистора, нет необходимости использовать внешние резисторы. Загрузочный кабель программирует конфигурационную микросхему (EPC16, EPC8 или EPC2). Напряжение VIO является опорным напряжением выходного буфера MasterBlaster, UsbBlaster.

Рисунок 6.4. Схема конфигурирования ПЛИС APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, FLEX 6000, CYCLONE, CYCLONE II с помощью загрузочного кабеля и конфигурационной микросхемы

·        Напряжение VIO должно быть согласовано с напряжением VCCIO фирмы Altera.

·        При конфигурировании одной микросхемы вывод nCEO оставляют неприсоединенным.

·        При использовании напряжения 3,3 В, выводы VCC, VCCSEL, VPP, и ВPPSEL должны питаться напряжением 3,3 В. При использовании 5.0-В, выводы VCC и VPP питают напряжением 5,0 В, а выводы VCCSEL и VPPSEL соединяются с землей. Для ускорения конфигурирования, вы можете изменить VPP на 5,0 В, VCC на 3,3 В, а вывод VPPSEL соединить с землей. Конфигурационная микросхема конфигурирует ПЛИС APEX II, APEX 20K, Mercury, ACEX 1K, FLEX 10K, CYCLONE, CYCLONE II. Соединения выводов микросхем EPC16, EPC8 или EPC2 с конфигурируемой микросхемой показаны на рисунке 7.3. Для других конфигурационных микросхем эти выводы можно соединять соответственно.

Для разделения напряжений источников 1,8 и 3,3 В между выводом nCONFIG микросхемы APEX 20KE и выводом nINIT_CONF конфигурируемой микросхемы необходимо включить диод. Необходим диод с пороговым напряжением не более 0,7 В. Диод обеспечивает на выводе открытого стока nINIT_CONF либо "0", либо третье состояние.

6.4 Надёжность конфигурирования ПЛИС


Рассматриваемые микросхемы обеспечивают высокую помехоустойчивость по напряжению питания и сигналам данных и устойчивость конфигурационных данных при конфигурировании и в рабочем режиме. Целый ряд технических решений обеспечивает высокий уровень надежности SRAM-технологии этих ПЛИС.

Достоверность загружаемых данных контролируется с помощью избыточного периодического кода (CRC). Если генерируемый микросхемой CRC-код не соответствует CRC-коду потока данных - конфигурационный процесс прекращается, и вывод nSTATUS переходит в "0" сигнализируя о наличии ошибки. При наличии помех в системе CRC-контроль обеспечивает защиту от ошибок, приводящих к неверному конфигурированию.

Архитектура микросхем обеспечивает высокую устойчивость к снижению и провалам напряжения. Для корректности содержимого SRAM-ячеек ПЛИС необходимо определенное значение напряжения VCC. Порог этого напряжения значительно ниже, чем напряжение POR-активизации микросхемы. Поэтому при сбое напряжения VCC микросхема прекращает работу и сигнализирует о наличии ошибки, устанавливая "0" на выводе nSTATUS.

Для восстановления функционирования ПЛИС - она должна быть реконфигурирована. В активных конфигурационных схемах вывод nCONFIG подключен к VCC, поэтому при восстановлении напряжения VCC реконфигурация начинается автоматически. Импульс "0" на выводе nSTATUS сбрасывает конфигурацию микросхемы, устанавливая "0" на выводе ОЕ. В пассивных схемах конфигурирования процесс реконфигурации инициализируется следящей системой.

Эти возможности обеспечивают высокую надежность микросхем в различных конфигурациях.

6.5 Выводы


Были подробно рассмотрены различные варианты конфигурирования ПЛИС, а также оценена надежность конфигурирования. Остановимся на методе, рассмотренном в п.п 6.3. Данный метод является наиболее простым, достаточно надёжным и требует наличия конфигурационной микросхемы и загрузочного кабеля. Далее необходимо рассмотреть технологическую часть.

7. Технологическая часть


Для металлических деталей, применяемых в конструкции характерна коррозия, происходящая под действием влаги, содержащейся в атмосфере. Поэтому необходимо выбрать материалы для деталей данной конструкции, учитывая конструктивные требования по защите конструкции от климатических факторов внешней среды (КФВС).

Коррозийная стойкость может быть обеспечена выбором материалов, стойких к климатическим воздействиям, либо использовать полимерные или эмалевые покрытия (пленкой), созданием на поверхности деталей химических соединений. Также возможно комплексное применение антикоррозийных мер защиты конструкции и отдельных деталей.

Основу конструкции БНК составляет каркас, выполненный из стандартного профиля 191540008Д ГОСТ 8617-81/ГОСТ 13737-86. Этот сплав в отличие от дюралюминия Д16 хорошо поддается аргонодуговой сварке (за счет добавок кремния) и удовлетворительно обрабатывается резанием.

 

.1 Описание принципиальной схемы


Принципиальная схема приведена в приложении A на 4 листах.(или если можно будет 4 листа формата А3 разместить на 1 А1) На схеме обозначены: D1.1…D1.9 - ПЛИС, D2 - регулируемый стабилизатор положительного напряжения, D3- конфигурационное РПЗУ EPCS16SI16N, конденсаторы С1…С51, разъемы Х1.1…Х1.5, X2, X3 и резисторы R1…R12. Конденсаторы С21…С51 номиналом 0.1 мкФ каждый должны располагаться непосредственно рядом с каждой ПЛИС или РПЗУ, а С1, С2, С3, С4, С6, С7, С9, С10, С12, С14, С15, С16 как можно ближе к разъему Х1, остальные - вблизи стабилизатора напряжения. Разъем Х1 - четырехрядный, содержащий в каждом ряду A,B,C,D по 40 контактов, предназначенный для подачи на ПЛИС питающего напряжения - VCC (+ 3,3 В), а так же для осуществления коммутации с внешними устройствами. С помощью него на все ПЛИС подаются тактовый, или синхросигнал, и входные сигналы, а так же на него поступают обработанные сигналы. Х2, Х3 предназначены для конфигурирования и отладки ПЛИС или РПЗУ по цепи JTAG. Незадействованные контакты должны быть припаяны к печатной плате, на электрической схеме они не указаны. С целью обеспечения минимального времени распространения синхросигнала и а также более надежного конфигурирования ИМС цепи сигналов TCI, TMS, TCK, TDO и тактового сигнала должны быть проложены кратчайшим способом.

цифровой фильтр сжатие микросхема

7.2 Технология изготовления печатной платы


Новое качественное развитие современной радиоэлектроники основано на широком применении микросхем различной сложности в качестве основных компонентов электронных систем. Сложность и большая функциональная плотность современной аппаратуры требует огромного числа коммутационных соединений. Многослойный печатный монтаж позволяет практически реализовать сложную коммутацию большого числа компонентов схемы в новейших конструкциях аппаратуры, выполненной в микроминиатюрном исполнении. Многослойные печатные платы (МПП), имея ряд особенностей, сохраняют все основные свойства обычного печатного монтажа:

а) возможность массового механизированного производства;

б) точную повторяемость рисунка схемы от платы к плате;

в) относительную простоту выполнения монтажных соединений компонентов схемы и возможность их замены;

г) возможность механизации сборочно-монтажных и регулировочных операций при изготовлении аппаратуры;

д) дальнейшее сокращение веса и габаритов аппаратуры и т. д.

К отличительным особенностям МПП следует отнести:

а) более высокую удельную плотность рисунка печатных проводников и выводных точек (контактных площадок);

б) более высокую стабильность всех параметров печатной схемы при изменении внешних условий за счет размещения всех проводников внутри однородного материала.

Как уже отмечалось, многослойные печатные платы отличаются относительно сложной технологией и высокой трудоемкостью изготовления; основным недостатком их является невозможность внесения изменений и устранения дефектов в готовой плате.

Многослойная печатная плата - это сложное изделие, которое обусловливает ряд новых требований к материалам, технологическим процессам, технологическому оборудованию, производственным помещениям, организации производства и подготовке специальных кадров.

Многослойный печатный монтаж нашел применение для коммутации разнообразных компонентов: стандартных дискретных элементов, различных модульных блоков и функционально законченных плоских схем в запаянных корпусах или залитых компаундом, интегральных схем в цилиндрических или плоских корпусах.

Одна многослойная печатная плата может объединить большое число сложных компонентов радиоэлектронной системы, обеспечивая значительную экономию места и веса и в то же время эффективно уменьшая количество внешних выводов по сравнению с тем, что потребовалось бы в случае применения традиционных принципов монтажа.

Важная особенность многослойного печатного монтажа в разрешении многих проблем, связанных с взаимными помехами. Осуществляется она введением в конструкцию плат экранирующих слоев. Многослойные печатные платы позволяют совмещать цепи постоянного и переменного токов в одной конструкции платы, при этом экранированием исключается их взаимное влияние.

Как и любое новое направление в технике, в поисках простейшего решения многослойный печатный монтаж в начале своего развития получил много различных конструктивно-технологических направлений.

Разновидности методов изготовления МПП определяются способом получения межслойных соединений.

Рисунок 7.1 МПП - 8 слоев, попарного прессования, изготавливаются из двухстороннего фольгированого диэлектрика.

В отечественной промышленности существует два конструктивно-технологических направления в технологии изготовления МПП:

1) изготовление МПП с применением химико-гальванических процессов для получения межслойных соединений в плате в процессе ее изготовления;

2) изготовление МПП без межслойных соединений и получение их

3) последующей пайкой или сваркой.

Изготовление МПП с применением химико-гальванических процессов имеет три разновидности:

1) металлизация сквозных отверстий;

2) попарное прессование;

3) послойное наращивание.

Изготовление МПП без межслойных соединений в плате имеет две разновидности:

1) открытые контактные площадки;

2) выступающие выводы.

Перечень основных технологических операций изготовления МПП по принятым пяти разновидностям приведен в рисунке 8.2.

Рисунок 8.2 Перечень основных технологических операций изготовления МПП

По литературным данным около 80% всех МПП за рубежом изготавливается методом сквозной металлизации отверстий.

Анализ развития техники и технологии производства МПП в отечественной промышленности и опыта зарубежных фирм показывает, что метод металлизации сквозных отверстий наиболее перспективный.

7.3 Технология изготовления МПП методом металлизации сквозных отверстий


При выполнении технологического процесса изготовления многослойных печатных плат требуется более высокая точность исполнения каждого слоя с более жесткими допусками на размеры, соответственно необходимо оборудование повышенной точности, необходимо выполнить достаточно сложную новую операцию-прессование и тщательней провести операцию металлизации отверстий. Поэтому изготовить многослойную плату сложно. Метод изготовления МПП металлизацией сквозных отверстий заключается в склеивании (прессовании) одновременно всех печатных слоев платы с помощью стеклоткани, пропитанной лаком (смолой). Межслойные соединения выполняются в виде металлизированных отверстий, соединяющих наружные и внутренние слои платы.

Рисунок схемы внутренних слоев МПП выполняется на заготовках из одностороннего или двухстороннего фольгированного диэлектрика фотохимическим методом.

Рисунок наружных слоев выполняется комбинированным позитивным методом после прессования МПП.

В склеенной МПП после нанесения рисунка схемы на наружные слои (до операции травления) сверлят сквозные отверстия. Эти отверстия располагаются в узлах координатной сетки, по которой выполнен рисунке схемы. Точность выполнения отверстий по координатам должна быть обеспечена в пределах ±0,05 мм. Это необходимо для обеспечения совмещения отверстий с контактными площадками на каждом слое. Диаметр отверстий, как уже говорилось об этом раньше, должен быть не менее 1/10 толщины платы, только в этом случае могут быть гарантированы условия для качественной металлизации.

Операция металлизации отверстий - одна из основных в процессе изготовления МПП данным методом. От качества металлизации существенно зависит качество самой платы. Через металлизацию в отверстиях электрически соединяются все слои МПП. Для того чтобы соединение слоев было надежней, перед металлизацией выполняют операцию подтравливания диэлектрика. Для этой цели используют 80%-ный раствор серной кислоты, а затем плавиковую кислоту.

В результате подтравливания диэлектрика площадь контакта на внутренних слоях увеличивается, что и гарантирует более надежное соединение слоев.

Процесс металлизации отверстий аналогичен тому, который применяется при изготовлении печатных плат комбинированным методом.

Однако на операции гальванической металлизации стремятся использовать электролиты с повышенной рассеивающей способностью.

Для металлизации МПП в последнее время разработан электролит следующего состава: CuS04-5H20 - 200 г/л; H2S04- 100 г/л; (NH4)2S04 -40 г/л; (NH4)С2Oe - 20 г/л.

Электролит приведенного состава позволяет получать осадок хорошего качества при плотности тока до 3 а/дм2 и Т=18-22 °С. При температуре 40-50 °С допустимая плотность тока до 5 а/дм2.

После осаждения меди схему защищают слоем гальванического серебра или ПОС-61. Затем удаляют защитный слой фоторезистора и производят операцию травления наружных слоев МПП.

Изготовленные платы проходят операцию механической обработки по контуру и маркировку.

Готовые платы проходят 100%-ный контроль по электрическим параметрам на специальных стендах-автоматах с программным управлением.

После контроля платы консервируются, упаковываются в специальную тару и направляются на сборку.

На платы, изготовленные методом сквозной металлизации отверстий, могут устанавливаться навесные элементы с осевыми и планарными выводами.

В многослойных печатных платах формируется практически полностью экранированная линия передачи. Обеспечивается максимальная локализация электромагнитного поля, а, следовательно, и максимальная точность расчетов электрических параметров через геометрию сечения, что в свою очередь сильно увеличивает помехозащищенность МПП.

Наличие большого числа слоев позволяет реализовать практически любую топологию.

 

.4 Расчет надежности модуля


Надежность - свойство объекта выполнять заданные функции, сохраняя во времени и в заданных пределах значения установленных эксплуатационных показателей.

Объект - техническое изделие определенного целевого назначения, рассматриваемое в периоды проектирования, производства, испытаний и эксплуатации.

Объектами могут быть различные системы и их элементы.

Элемент - простейшая составная часть изделия, в задачах надежности может состоять из многих деталей.

Система - совокупность совместно действующих элементов, предназначенная для самостоятельного выполнения заданных функций.

Понятия элемента и системы трансформируются в зависимости от поставленной задачи. Например, станок, при установлении его собственной надежности рассматривается как система, состоящая из отдельных элементов - механизмов, деталей и т.п., а при изучении надежности технологической линии - как элемент.

Надежность объекта характеризуется следующими основными состояниями и событиями.

Исправность - состояние объекта, при котором он соответствует всем требованиям, установленным нормативно-технической документацией (НТД).

Работоспособность - состояние объекта, при котором он способен выполнять заданные функции, сохраняя значения основных параметров, установленных НТД.

Основные параметры характеризуют функционирование объекта при выполнении поставленных задач.

Понятие исправность шире, чем понятие работоспособность. Работоспособный объект обязан удовлетворять лишь тем требования НТД, выполнение которых обеспечивает нормальное применение объекта по назначению. Таким образом, если объект неработоспособен, то это свидетельствует о его неисправности. С другой стороны, если объект неисправен, то это не означает, что он неработоспособен.

Предельное состояние - состояние объекта, при котором его применение по назначению недопустимо или нецелесообразно.

Применение (использование) объекта по назначению прекращается в следующих случаях:

при неустранимом нарушении безопасности;

при неустранимом отклонении величин заданных параметров;

при недопустимом увеличении эксплуатационных расходов.

Для некоторых объектов предельное состояние является последним в его функционировании, т.е. объект снимается с эксплуатации, для других - определенной фазой в эксплуатационном графике, требующей проведения ремонтно-восстановительных работ.

В связи с этим, объекты могут быть:

невосстанавливаемые, для которых работоспособность в случае возникновения отказа, не подлежит восстановлению;

восстанавливаемые, работоспособность которых может быть восстановлена, в том числе и путем замены.

К числу невосстанавливаемых объектов можно отнести, например: подшипники качения, полупроводниковые изделия, зубчатые колеса и т.п. Объекты, состоящие из многих элементов, например, станок, автомобиль, электронная аппаратура, являются восстанавливаемыми, поскольку их отказы связаны с повреждениями одного или немногих элементов, которые могут быть заменены.

В ряде случаев один и тот же объект в зависимости от особенностей, этапов эксплуатации или назначения может считаться восстанавливаемым или невосстанавливаемым.

Отказ - событие, заключающееся в нарушении работоспособного состояния объекта.

Критерий отказа - отличительный признак или совокупность признаков, согласно которым устанавливается факт возникновения отказа.

Для расчета надежности ячейки, рассчитаем в отдельности интенсивность отказов, каждого элемента, входящего в состав ячейки.

Для интегральных микросхем воспользуемся формулой:

λЭ= λбЧ КЭ Ч КПР Ч ККОРП Ч КСТ Ч КV (5.1)

для конденсаторов:

λЭ= λбЧ КЭ Ч КПР Ч КР Ч КС (5.2)

для резисторов:

λЭ= λбЧ КЭ Ч КПР Ч КР Ч КR Ч КМ Ч КСТАБ (5.3)

для блоков резисторов:

λЭ= λбЧ КЭ Ч КПР Ч КР (5.4)

для розеток:

λЭ= λбЧ КЭ Ч КПР Ч КР Ч KKK Ч ККС (5.5)

для соединений:

λЭ= λбЧ КЭ (5.6)

для печатной платы:

λЭ= λбЧ КЭ (5.7)

для вилок:

λЭ= λбЧ КЭ Ч КПР Ч КР Ч KKK Ч ККС (5.8)

Далее распишем все условные обозначения, которые применялись в формулах:

λб - исходная (базовая) интенсивность отказов типа (группы) ЭРИ для усредненных режимов применения в аппаратуре (электрическая нагрузка, равная 0,4 от номинальной; температура окружающей среды tокр = 30єС);

КЭ - коэффициент эксплуатации;

КПР - коэффициент приемки, учитывающий степень жесткости требований к контролю качества и правила приемки изделий;

КР (КТ) - коэффициент режима, учитывающий изменение λб в зависимости от электрической нагрузки и (или) температуры окружающей среды;

ККОРП - коэффициент, учитывающий тип корпуса резисторных микросхем;

КФ - коэффициент, учитывающий функциональное назначение прибора;

КS1 - коэффициент, учитывающий отношение рабочего напряжения к максимально допустимому по ТУ;

КСТ - коэффициент, учитывающий сложность ИС и температуры окружающей среды;

КС - коэффициент, учитывающий величину емкости конденсатора;

КV - коэффициент, учитывающий величину напряжения питания для интегральных микросхем;- коэффициент, учитывающий величину омического сопротивления резисторов;- коэффициент, учитывающий количество задействованных контактов соединителей и коммутационных изделий;

ККС - коэффициент, учитывающий количество сочленений-расчленений в течение всего времени эксплуатации соединителей;

КСТАБ - коэффициент, учитывающий точность изготовления (допуск) резистора;

КМ - коэффициент, учитывающий величину номинальной мощности резистора;

Расчет наработки на отказ для устройства выполнен в виде таблицы 7.1.

Средняя наработка на отказ ячейки определяется по формуле:

,

где λЭ=4,1633Ч10-6 1/ч - суммарная интенсивность отказов всех элементов

 час;

Для разрабатываемого модуля наработка на отказ Тср=240194 час.

Таблица 5.2

Наименование элемента

lб*106 1/ч

Кэ

Кр

Кдн

Кф

Кs1

Кст

Кс

КR

Ккк

Ккс

КТ

Км

К-во

lЭ*106 1/ч

nl*1061/ч

Конденсатор

















К10-17в-0,1мкФ

0,03

5

0,067





1,59






10

0,0159

0,159

К10-17в-0,068мкФ

0,03

5

0,067





1,52






31

0,0152

0,4712

К53-56-33мкФ

0,06

5

0,319





1






4

0,0957

0,3828

К53-56-33мкФ

0,06

5

0,288





1






4

0,0864

0,3456

К53-56-33мкФ

0,06

5

0,276





1






2

0,0828

0,1656

Микросхемы

















142ЕН12

0,04

1,5





1,79







1

0,1074

0,1074

EP2C50F484I8N














1

0,064

0,064

EPCS16SI16N














1

0,036

0,036

Резисторы

















Р1-12-53Ом

0,052

5

0,41






1




0,7

3

0,0746

0,2238

Р1-12-300Ом

0,052

5

0,41






1




0,7

1

0,0746

0,0746

Р1-12-1кОм

0,052

5

0,41






0,7




0,7

4

0,0522

0,2089

Р1-12-10кОм

0,052

5

0,41






0,7




0,7

4

0,0522

0,2089

Индикаторы

















3Л341Г

0,05

1

0,786

0,0393

0,0393


















СНП269-224ВП

0,001

3

0,31







94,23

0,32



1

0,028

0,028

СНП346-10ВП22

0,001

3

1,08







2,58

0,32



2

0,0026

0,0052


















Пайка

0,000069

4












484

0,1335

0,1335

Пайка

0,00026

4












518

0,5387

0,5387

Печатная плата

0,00004

4






2,4






394

0,9708

0,9708



































ИТОГО: l = 4,1633*10-6 1/ч Т0 = 240194 ч

8. Конструкторская часть


8.1 Выбор и обоснование принципов конструирования


Особенности конструктивного построения блоков цифровой обработки сигналов для РЛС определяются:

применением передовых схемно-технических решений и новых технологий, что приводит к комплексной миниатюризации;

унификацией базовых модулей и составных узлов;

созданием единой технологии их, изготовления сборки и последующего контроля;

требованием к транспортировке всеми видами транспорта.

Опираясь на указания по конструированию, блоки и ячейки с микросхемами, электрорадиоэлементы (ЭРЭ) компонуются на базовых конструкциях, состоящих из следующих конструктивных модулей:

ячеек на печатных платах;

шасси блоков.

Блок размещается в объеме одной типовой секции универсальной базовой несущей конструкции (УБНК).

8.2 Конструктивное построение модуля.


Модуль выполнен на базовой МПП плате размером 170х200 мм, входит в состав блока ЦОС. Для электрического соединения с блоком используется разъём СНП-260 с количеством контактов 135. Фильтрующие конденсаторы установлены по краям печатной платы у соединителя Х1.

По стойкости к механическим и климатическим воздействиям модуль соответствует ГОСТ РВ20.39.304-98 (группа 1.3) со следующими уточнениями:

повышенная температура среды - плюс 65єС;

относительная влажность - 98% при температуре плюс 35єС;

синусоидальная вибрация: амплитуда ускорения - 3g, диапазон частот - 1-200 Гц;

механический удар многократного действия: пиковое ускорение - 15g, длительность действия ударного ускорения -5 - 10 мс.

модуль должна сохранять работоспособность на высотах до 2000м.

8.3 Конструктивное построение блока


Блок размещен в двухсекционной типовой секции базовой несущей конструкции (БНК) типа "Единство". С целью обеспечения возможности ремонта все механические соединения выполняются разъёмными. Ячейки вводятся в блок по направляющим и закрепляются болтами. Это позволяет обеспечить высокую скорость замены вышедшей из строя ячейки.

На аппаратуру воздействуют четыре вида механических нагрузок:

1.       Вибрация

2.       Удары

.        Линейные и центробежные ускорения

.        Шум и акустические удары

Разрабатываемая конструкция должна быть предельно жесткой, но и механически прочной, без излишних неоправданных затрат по массе.

Изготовление каркасной конструкции шасси осуществляется с применением сварочных и резьбовых соединений

На лицевой панели блока должны размещаться элементы с гравировкой. Разводка цепей вторичного питания на разъемы осуществлена с помощью объединительных плат (ОДПП). На ОДПП установлены фильтрующие конденсаторы типа К10-17-2б-Н90-0,68мкф. Также установлены шины для обратных проводов скруток у разъемов.

В блоке используется встроенный стандартный источник вторичного электропитания, распределяющий напряжения 5В и 3В. Он обеспечивает аппаратуру стабилизированным напряжением при электропитании от однофазной сети переменного тока напряжением 220В. Субблок питания обеспечивает защиту от:

перегрузки по току нагрузки и короткого замыкания.

снижения выходного напряжения и перенапряжения по выходу.

снижения напряжения питающей сети до уровня 180…190В.

Масса субблока - не более 1,3 кг.

Вид охлаждения субблока - воздушное принудительное в составе базовой несущей конструкции (БНК).

Конструктивно субблок выполнен в виде универсальной конструкции, встраиваемой в БНК блока МДФ и имеет защитные экраны, исключающие возможность прикосновения к элементам, находящимся под напряжением более 36В.

Все цепи длиной более 50 мм, выполнены витой парой проводов. Жгуты цепей питания и автоматики проложены отдельно от сигнальных цепей.

В конструкции блока используется воздушное охлаждение.

Блок удовлетворяет требованиям ГОСТ Р 52070-2003.

8.4 Выводы


В предыдущих двух главах были рассмотрены основные требования на конструктивное построение модуля. Выбран и обоснован принцип конструирования. Приведена и рассмотрена принципиальная электрическая схема устройства. Также кратко рассмотрена коррозийная стойкость и обоснован выбор материалов. Выбрана конструкция печатной платы, а также исследованы особенности монтажа. Приведен перечень основных технологических операций изготовления МПП, рассмотрен процесс изготовления многослойной печатной платы. Также был произведен расчет надежности модуля. В результате этого расчета среднее время наработки на отказ Тср=240194 час, что полностью удовлетворяет требованиям. В следующей главе необходимо рассмотреть экономические аспекты разработки устройства.

9. Экономическая часть

 

.1 Введение


В нынешней экономической ситуации у предприятий появляются большие возможности для выбора типа деятельности, дальнейшего совершенствования, развития выхода на мировой рынок и т.п. Но для того, чтобы в условиях свободного рынка продукция предприятия пользовалась повышенным спросом, она должна содержать в себе множество различных свойств и удовлетворять большому числу требований как внутри страны (стандарт ГОСТ Р ИСО 9001-96), так и международным стандартом (ISO - 9000). На сегодняшний день только такие предприятия являются благополучными.

При создании нового прибора или устройства исследователи и конструкторы всегда должны учитывать не только техническую, но и экономическую сторону проводимой разработки. Экономический анализ дает возможность выбрать наиболее эффективный вариант новой техники, способствует внесению в создаваемые конструкции таких улучшений, которые позволили бы получить нужные результаты при наименьших материальных, трудовых и денежных затратах. Оптимальным вариантом достижения таких решений является прогрессивная форма планирования - бизнес-план.

Бизнес-план - это краткое, точное и ясное описание целей нового или действующего бизнеса, а также средств и способов их достижения.

Бизнес-план позволяет сделать оценку текущего состояния экономики, сильных и слабых сторон производства, показать достоинства и выгоду предполагаемого проекта и привлечь инвестора, который вложит свои средства в тот проект, который с достаточной вероятностью гарантирует ему получение максимальной прибыли.

Данный бизнес-план посвящен разработке модуля сжатия сложных радиолокационных сигналов.

9.2 Предприятие и отрасль, в котором оно занято


Предприятие разрабатывает различные образцы радиолокационной техники. Специализируясь, в основном на радиотехнике, телемеханике, спецрадиосвязи и автоматике.

9.3 Описание организации работ


Данная работа относится к классу ОКР с элементами НИР, поскольку помимо основной части работ - разработки конструкторской документации, рассматривается так же и разработка технического проекта. [17].

Однако, так как элементы НИР незначительны, основные расчеты экономической части выполняются в соответствии с требованиями, предъявляемыми для работ класса ОКР.

 

.4 Описание продукта


В радиолокации всегда остро стоит проблема оптимальной обработки сигнала, для последующего принятия решения о наличии цели. Для этих задач может быть применена фильтровая обработка, корреляционная, а также обработка с использованием ДПФ и БПФ. Наиболее подходящим способом является корреляционная обработка (сжатие), т.к. при такой обработке теоретически более достижима работа в реальном масштабе времени.

Модуль сжатия производит обработку сигнала в основном канале. Необходимость разработки вызвана тем, что аналог имел устаревшую элементную базу, а также был существенно менее точен. В качестве современной элементной базы в данном устройстве используются ПЛИС. Основными преимуществами ПЛИС при применении в средствах обработки сигналов являются:

·              высокое быстродействие;

·              возможность реализации сложных параллельных алгоритмов;

·              наличие средств САПР, позволяющих провести полное моделирование системы;

·              возможность программирования или изменения конфигурации непосредственно в системе;

·              совместимость при переводе алгоритмов на уровне языков описания аппаратуры (VHDL, AHDL, Verilog и др.);

·              совместимость по уровням и возможность реализации стандартного интерфейса;

·              наличие библиотек мегафункций, описывающих сложные алгоритмы;

·              архитектурные особенности ПЛИС как нельзя лучше приспособлены для реализации таких операций, как умножение, свертка и т.п.

Использование современной элементной базы повышает надёжность, ремонтопригодность, уменьшая габариты устройства и затраты на его производство.

Основным заказчиком предприятия является государство, а именно, Министерство Обороны РФ. В выпускаемой нами продукции заказчика привлекает высокое качество, современность технологий, специализация предприятия.

Источником финансирования является договор.

В рамках договора исполнители обязуются разработать устройство в срок и в полном объёме. Приём продукта осуществляет представитель заказчика.

9.5 Оценка рынка и конкурентоспособности


Разрабатываемый прибор не имеет серьезных конкурентов, как на российском, так и на зарубежном рынке.

Единственно возможная конкуренция - с предшествующими поколениям устройств, подобных разрабатываемому, крайне незначительна, так как в подавляющем большинстве случаев новое устройство значительно превосходит предшественников.

 

.6 Маркетинг


Разрабатываемое изделие - модуль сжатия сложных радиолокационных сигналов входит в состав различных радиолокационных комплексов, в устройстве применена современная электрорадиоэлектронная база, что повысило надежность, точность, а так же уменьшило габаритные параметры. Применение дорогих материалов и технологий обеспечивает повышенные технологические и покупательские требования, предъявляемые к данному виду продукции, что и обуславливает высокую цену изделия.

Существует два пути продвижения изделия - это напрямую внутреннему клиенту, как уже говорилось выше Министерству обороне, либо в третьи страны через посредника, которыми с большой вероятностью станет «Рособоронэкспорт» ввиду специфики продукции. Главное достоинство аппаратуры - ее надежность и низкая стоимость (по сравнению с зарубежными аналогами), это то, на чем необходимо акцентировать внимание возможного клиента, также необходимого его убедить в том, что фирма производитель берет на себя все обязательства связанные с гарантийным и пост-гарантийным обслуживанием в течение всего срока службы изделия.

Для дальнейшего развития данной отрасли предприятия необходима модернизация производства (закупки новых технологий и оборудования), а так же используя огромный научный потенциал коллектива, что дает возможность создания новых, более современных и конкурентоспособных радиоэлектронных устройств. В условиях рыночной экономики это условие является гарантом обеспечения будущего для предприятия.

9.7 Организация производства


Предприятие на данный момент можно отнести к опытному или мелкосерийному производству, годовой выпуск продукции не превышает нескольких единиц в год, но это нельзя назвать низким показателем, так как институт считается проектной организацией и в его задачи не входит промышленный выпуск данной продукции, а лишь ее разработка.

Основными поставщиками материалов являются различные предприятия радиоэлектронной промышленности, производящие радиоэлектронные компоненты. Также поставщиками являются некоторые заводы по металлам и сплавам для несущих конструкций.

Для изготовления продукции используется оборудование станкостроительных заводов, заводов специальных приборов. При внедрении прогрессивных технологий, необходима закупка новых типов оборудования, как в России, так и за рубежом. Для работы на новом оборудовании и с новыми технологиями, будет необходимо повышение квалификации персонала, увеличение заработной платы, привлечение консультантов, которые помогут внедрить новые технологии на наше предприятие, а также менеджеров высокого звена из экономических структур, способных организовать производство.

Так же важным аспектом для предприятия является кадровый вопрос, в связи с низким уровнем зарплат, вызванным экономическим кризисом в стране и недостаточным финансированием госпредприятий, произошла утечка высококвалифицированных кадров. Для восстановления кадрового состава необходимо привлечение опытных специалистов, при условии предоставления соответствующей зарплаты.

Необходимо отметить, что на предприятии есть базовая кафедра, где ведущие специалисты преподают на высоком уровне основные дисциплины студентам, которые могли бы восполнить кадровый дефицит.

 

.8 Этапы разработки


Согласно ГОСТ 15.001-73 установлены следующие этапы выполнения ОКР:

) разработка ТЗ на ОКР;

Составление проекта ТЗ заказчиком. Проработка проекта ТЗ исполнителем.

Согласование и утверждение ТЗ.

) техническое предложение;

Выявление дополнительных или уточненных требований к изделию, его техническим характеристикам и показателям качества, которые не могут быть указаны в ТЗ:

·   проработка результатов НИР;

·        проработка результатов прогнозирования;

·        изучение научно-технической информации;

·        предварительные расчеты и уточнение требований ТЗ.

) эскизное проектирование;

Разработка принципиальных технических решений:

·   выполнение работ по этапу технического предложения,

·        выбор элементной базы разработки;

·        выбор основных технических решений;

·        разработка структурных и функциональных схем изделия;

·        выбор основных конструктивных элементов;

·   разработка и испытание макетов.

4) техническое проектирование;

Окончательный выбор технических решений по изделию в целом и его составным частям:

·   разработка принципиальных электрических, и других схем;

·        уточнение основных параметров изделия;

·        проведение конструктивной компоновки изделия и выдача данных для его размещения на объекте;

·        разработка проектов ТУ на поставку и изготовление изделия;

·   испытание макетов основных приборов изделия в натурных условиях.

5) разработка рабочей документации для изготовления и испытаний опытного образца;

Формирование комплекта конструкторских документов:

·   разработка полного комплекта рабочей документации;

·   согласование ее с заказчиком и заводом-изготовителем серийной продукции;

·        проверка конструкторской документации на унификацию и стандартизацию;

·        изготовление в опытном производстве опытного образца;

·   настройка и комплексная регулировка опытного образца.

6) изготовление и испытание опытного образца;

Проверка соответствия опытного образца требованиям ТЗ:

·   стендовые испытания;

·        предварительные испытания на объекте;

·   испытания на надежность.

К организационной части проекта относится анализ подразделения, участвующего в проведении данной работы и его состава. Структура отдела- разработчика представляет собой совокупность подразделений, объединенных единым направлением научно-технических работ. Структура отделения разработчика показана на рисунке 9.1.

Рисунок 9.1 Структура отделения разработчика

Таблица 9.1

№ работы

Наименование работы

Должность

Трудоем-кость, чел. дн

Числен-ность

Длительность работы, дн

1

Разработка ТЗ на ОКР

Нач.сектора Ст.инженер

20 20

1 1

 20

2

Техническое предложение

Ст.инженер Инж.-разработчик IIй кат. Инж.-конструктор IIй кат.

15 15 15

1 1 1

  15

3

 Эскизное проектирование

Инж.-конструктор IIй кат. Инж.-разработчик IIй кат.

25 25

1 1

 25

4

Техническое проектирование

Ст.инженер Инж.-конструктор IIй кат. Инж.-разработчик IIй кат.

25 25 25

1 1 1

  25

5

Разработка рабочей документации

Нач.сектора Ст.инженер Инж.-конструктор IIй кат. Инж.-разработчик IIй кат.

20 20 20 20

1 1 1 1

   20

6

Изготовление и испытание опытного образца

Нач.сектора Ст.инженер Инж.-разработчик IIй кат. Начальник ОП Технолог Монтажник ЭРЭ Регулировщик

45 45 45 45 45 45 45

1 1 1 1 1 1 1

      45

7

Итого




150


График сроков выполнения опытно-конструкторских работ(график Ганта [18]) приведен на Рисунок 9.2.

Рисунок 9.2 График сроков выполнения опытно-конструкторских работ

9.9 Финансовый план


Все работы финансируются из государственного бюджета, прямым сметным финансированием.

9.10 Расчет сметной стоимости ОКР


При разработке устройства сжатия расходы осуществляются по следующим статьям:

·        Покупные комплектующие изделия.

·        Транспортно-заготовительные расходы.

·        Основная заработная плата исполнителей ОКР.

·        Дополнительная заработная плата исполнителей ОКР.

·        Отчисления на социальные нужды.

·        Накладные расходы.

1)      Покупные комплектующие изделия (ПКИ).

К этой статье относится стоимость покупных изделий, комплектующих изделий, расходуемых непосредственно в процессе ОКР. Стоимость приведена в таблице 9.2

Таблица 9.2

№ п/п

Наименование

Кол-во шт.

Стоимость ед. изделия (руб.)

Итого, (руб.)

1

К53-56-16В-33 мкФ ± 20 % АЖЯР.673546.001ТУ

10

200

2000

2

К10-17В-H90-0,1 мкФ ОЖО.460.107ТУ

10

9

90

9

К10-17В-H90-0,068-2 мкФ ОЖО.460.107ТУ

31

9

279

10

Микросхема EP2C70F896C6N Altera (США)

1

21000

21000

11

Микросхема 142ЕН12 бКО.347.098-11ТУ

1

700

700

12

Микросхема EPCS16SI16N Altera (США)

1

500

500

13

P1-12-0,1-53 Ом ±5% -Н

3

5

15

14

P1-12-0,1-300 Ом ±5% -Н

1

11

11

15

P1-12-0,1-1 кОм ±5% -Н

4

15

60

16

P1-12-0,1-10 кОм ±5% -Н

4

10

40

17

Индикатор единичный 3Л341Г аАО.339.189ТУ

1

290

290

18

Вилка СНП269-224ВП41-4 РЮМК.430420.006ТУ

1

1680

1680

19

Вилка СНП346-10ВП22-2-В РЮМК.430420.011ТУ

2

150

300

20

Канцтовары

-/-


500


ИТОГО ( +транспортные расходы):



31584


2)      Транспортно-заготовительные расходы (ТЗР) составляют 15% от общей стоимости материалов, покупных и комплектующих изделий:

ТЗР = 27465 × 0,15 = 4119 руб. (9.1)

) Основная заработная плата исполнителей опытно-конструкторских работ. На данную статью относится основная заработная плата научных сотрудников, участвующих в выполнении конкретной ОКР. Размер основной заработной платы устанавливается исходя из численности различных категорий исполнителей, трудоёмкости, затрачиваемой ими на выполнение отдельных видов работ, и их средней ставки за один рабочий месяц.

Основная заработная плата (ОЗП) разработчиков рассчитывается по следующей формуле:

 (9.2)

где: МО - должностной оклад разработчика, ТФ - количество фактически отработанных дней, ТРД - число рабочих дней в месяце (ТРД = 22 дня).

) Дополнительная заработная плата (ДЗП) исполнителей ОКР. К ней относятся выплаты, предусмотренные законодательством за не проработанное время: оплата очередных и дополнительных отпусков, выплаты вознаграждений за выслугу лет и др. В научных учреждениях дополнительная заработная плата составляет 20 % от основной:

ДЗП = 239302 × 0.2 = 47860 руб. (9.3)

Фонд оплаты труда вычисляется как сумма ОЗП и дзп:

ФОТ = 239302 + 47860 = 287162 руб. (9.4)

Основная заработная плата исполнителей ОКР приведена в таблице 9.3.

Таблица 9.3

Этапы

Длитель-ность в чел.дн.

Должность

Мес. оклад в руб.

Оплата за день руб.

Сумма полученная за работу в руб.

1

ТЗ

20

Нач. сектора

18000

818

16360



20

Ст. инженер

15000

681

13620

  2

ТП

15

Ст. инженер

15000

681

10215



15

Инж.-конструктор IIй кат.

12000

545

8175



15

Инж.-разработчик IIй кат.

12000

545

8175

3

Эскизное проектирова-ние

30

Инж.-конструктор IIй кат.

12000

545

16350



30

Инж.-разработчик IIй кат.

12000

545

16350

  4

 Техническое проектирова-ние

20

Ст. инженер

15000

13620



20

Инж.-конструктор IIй кат.

12000

545

10900



20

Инж.-разработчик IIй кат.

12000

545

10900

  5

 Разработка рабочей документации

10

Нач. сектора

18000

818

8180



10

Ст. инженер

15000

681

6810



20

Инж.-конструктор IIй кат

12000

545

10900



20

Инж.-разработчик IIй кат.

12000

545

10900

     6

   Изготовление и испытание опытного образца

5

Нач. сектора

18000

818

4090



7

Ст. инженер

15000

681

4767



10

Инж.-разработчик IIй кат.

12000

545

5450



20

Начальник ОП

13000

590

11800



40

Технолог

10000

454

18610



40

Монтажник ЭРЭ

10000

454

18610



40

Регулировщик

8000

363

14520

7

Итого

239302


)Отчисления на социальные нужды. Отчисления на социальные нужды (СН) определяются в процентном отношении (35.6%) от суммы основной и дополнительной заработных плат, т.е от ФОТ.

ЕСН = 0.356 ×ФОТ                                    (9.5)

ЕСН = 0,356 × 287162 = 102230 руб.

) Накладные расходы.

В накладных расходах учитываются расходы на управление и хозяйственное обслуживание, заработная плата аппарата управления и общехозяйственных служб, затраты на содержание и текущий ремонт зданий, сооружений, оборудования и инвентаря, амортизационные отчисления на их полное восстановление и ремонт, расходы по охране труда

Накладные расходы (НР) составляют 250% от основной заработной платы:

НР = 2.5 × ОЗП = 2.5 × 225406 = 598255 руб. (9.6)

Необходимо рассчитать норматив прибыли (НП). Он составляет 30% от стоимости разработки (СР):

НП = СР х 30% = 1019231х0,3 = 305770 руб. (9.7)

Договорная цена (ДЦ) может быть найдена как:

ДЦ = СР + НП = 1 019231 + 305770 = 1 325 001 руб.

Сметная стоимость (СС) ОКР представлена в таблице 9.4.

Таблица 9.4


Наименование статей расхода

Стоимость (руб.)

1

Покупные изделия + тр. р

31 584

2

Специальное оборудование

-

3

Основная заработная плата персонала

219 956

4

Дополнительная заработная плата персонала

43 991

5

Отчисления в фонды

93 965

6

Расходы на научные и производственные командировки

21 995

7

Оплата работ, выполненных сторонними организациями и предприятиями

-

8

Прочие прямые расходы

-

9

Накладные расходы

549 890

10

ИТОГО затраты на проект СС:

961 381

11

Цена

1 249 795

12

НДС

-

13

Норматив прибыли

288 414

14

Договорная цена

1 249 795


9.11 Техническо-экономической обоснование целесообразности выполнения работ


Годовой экономический эффект рассчитывается по формуле

ЭГ= [(Uа + Eн × Kа) - (Uпр + Eн × Кпр)]× N > 0 (9.9)

где:   Uа и Uпр - годовые эксплуатационные расходы по аналогичному и проектируемому изделию, Eн - коэффициент экономической эффективности капитальных вложений (» 0,2), Kа и Кпр - цена аналогичного и проектируемого изделия, N = 10 - число потенциальных потребителей.

Эксплуатационные расходы аналогичного и проектируемого изделий примерно равны, поэтому можно пользоваться формулой интегрального экономического эффекта:

ЭГ = (Kа - Кпр) × N (9.10)

ЭГ = (1 345 000 - 1 325001) × 10 = 199990 руб.

В результате выполнения организационно-экономической части дипломного проекта было доказано, что внедрение в производство новой модификации устройства несёт выгоды.

Сравнительные показатели по базовому и новому вариантам представлены в Таблице 9.5.

Таблица 9.5

Критерий

«образец» баллы

Аналог баллы

Экономия руб

1. Надежность

8

7

10000

2. Быстродействие

9

7

20000

3. Эффективность

9

7

20000

4. Безотказность

8

7

10000

5. Безопасность

8

8

-

6. Возможность модернизации

9

7

20000


В результате сравнения новой разработки и базового варианта обнаруживается ряд существенных преимуществ в пользу новой разработки. Повышается надежность, быстродействие, точность новой разработки ячейки АКП за счет применения современной, более быстродействующей элементной базы, а именно использование ПЛИС, которая позволяет так же снизить энергопотребление и уменьшить габариты конечного устройства.

В связи с постоянным обновлением элементной базы, а также появлением новых быстродействующих микросхем с меньшей потребляемой мощностью электроэнергии возникает возможность модернизации. Одним из главных же преимуществ использования ПЛИС является возможность программирования или изменения конфигурации устройства непосредственно в системе, что позволяет корректировать работу блока без необходимости смены ячейки.

Новая разработка ячейки сжатия, входящей в состав различных радиолокационных систем увеличит их быстродействие, точность, надежность, в среднем, на 15%, что повысит ее конкурентоспособность на рынке и поможет найти своего потребителя не только в России, но и за рубежом.

9.12 Использование программно-аппаратных средств


Персональный компьютер:® Core™ i7 3,16 GHz, RAM 4 GB, HDD 700 Gb.

Программные стредства:

.        Quartus II v9.0.

.        MathCad 14.

.        MathWorks Matlab 10 & Simulink 10.

.        Microsoft Office 2010.

.        Microsoft Visio 2010.

9.13 Выводы


В экономической части дипломной работы, приводится описание новой конструкции модуля сжатия радиолокационных сигналов сложной формы, доказывается что новая элементная база не только позволяет уменьшить габариты устройства и упростить его настройку, но и является более выгодной с экономической точки зрения. Рассмотрены этапы ОКР продукта и наиболее вероятные сроки их выполнения. Проведён расчёт сметной стоимости (СС) ОКР, которая составила 1 325 001 рублей. Годовой экономический эффект составил 199 990 рублей, что говорит о целесообразности изготовления проектируемого изделия.

В результате проделанной работы произведено технико-экономическое обоснование разработки, приведен график сроков выполнения работ, по которому определено время изготовления изделия (в течение 145 дней).

В связи с обновлением элементной базы и в связи с применением более быстродействующих микросхем с меньшей потребляемой мощностью, а также возможностью их перепрограммирования в системе и возникает потребность в разработке новых схемных решений, позволяющих получить цифровые устройства, выигрывающие у ранних аналогов в таких важнейших параметрах как экономия мощности потребления, увеличение надежности устройств в целом, увеличением производительности устройств (наличие возможности обработки более быстрых и объемных потоков информации), упрощение технологии производства. В данной разработке наблюдается существенное улучшение технических характеристик (экономия мощности потребления, а так же увеличение надежности и точности устройства).

Также эффективность разработки данного изделия заключается в экономии, которая складывается за счет сокращения времени на разработку в связи с автоматизацией процесса разработки. Все проектирование производится с применением систем САПР: MathCAD, Microsoft Visio и Quartus II. Таким образом достигается экономия по времени на разработку, и соответственно экономия средств, затраченных на разработку (в том числе и затраты на заработную плату разработчиков) за это время.

10. Экологичность и безопасность проекта

 

.1 Введение


В данном проекте рассматривается модуль выполняющий обработку сигналов для РЛС. Из того, что модуль установлен в РЛС, следует, что на определенном расстоянии от устройства находится мощный электромагнитный излучатель.

Однако оператор станции при испытаниях системы находится на рабочем месте оператора (РМО), которое в свою очередь тоже находится на определенном расстоянии от облучателя. В данной части дипломного необходимо произвести оценку уровней воздействия электромагнитных полей (ЭМП) на оператора станции. В случае если они превышают нормы указанные в «ГОСТ 12.1.006-84. ССБТ. Электромагнитные поля радиочастот. Допустимые уровни на рабочих местах и требования к проведению контроля», и если не соответствуют требованиям по защите персонала от воздействия электромагнитных полей, указанным в СанПиН 2.2.4.1329-03, необходимо произвести расчет защитного экрана или произвести оценку ослабления ЭМП ввиду особенностей расположения и конструкции РМО.

 

.2 Влияние электромагнитного излучения на человека


Экспериментальные данные свидетельствуют о высокой биологической активности ЭМП во всех частотных диапазонах. При высоких уровнях облучающего ЭМП принято говорить о тепловом механизме воздействия. При низком уровне ЭМП современная теория признает нетепловой или информационный характер воздействия на организм. Механизмы действия ЭМП в этом случае еще мало изучены.

Наиболее чувствительные системы организма человека: нервная, иммунная, эндокринная и половая. Биологический эффект электромагнитных полей в условиях длительного многолетнего воздействия накапливается, в результате возможно развитие отдаленных последствий, включая дегенеративные процессы центральной нервной системы, рак крови (лейкозы), опухоли мозга, гормональные заболевания.

По тяжести влияния электромагнитное излучение может не восприниматься человеком вообще или же привести к полному истощению с функциональным изменением деятельности мозга и смертельному исходу. Более всего характерны проявления и неблагоприятны последствия воздействий СВЧ электромагнитных волн.

 

.3 Оценка опасности для персонала при воздействии ЭМП


ЭМП радиочастот следует оценивать показателями интенсивности поля и создаваемой им энергетической нагрузкой.

В диапазоне частот 60 кГц…300 МГц интенсивность ЭМП характеризуется напряженностью электрического (Е) и магнитного (Н) полей, энергетическая нагрузка (ЭН) представляет собой произведение квадрата напряженности поля на время его воздействия. Энергетическая нагрузка, создаваемая электрическим полем, равна:

, (10.1)

магнитным:

. (10.2)

Предельно допустимые значения Е и Н в диапазоне частот 60 кГц…300 МГц на рабочих местах персонала следует определять исходя из допустимой энергетической нагрузки и времени воздействия по формулам:

;                                     (10.3)

 и  - предельно допустимые значения напряженности электрического, В/м, и магнитного, А/м, поля;

Т - время воздействия, ч;

 и  - предельно допустимые значения энергетической нагрузки в течение рабочего дня,  и .

В диапазоне частот 300 МГц…300 ГГц интенсивность ЭМП характеризуется поверхностной плотностью потока энергии (далее плотность потока энергии - ППЭ), энергетическая нагрузка представляет собой произведение плотности потока энергии поля на время его воздействия .

Максимально допустимые значения ,  и ,  указаны в таблице 10.1.

Таблица 10.1

Параметр

Предельные значения в диапазонах частот, МГц


от 0,06 до 3

св. 3 до 30

св. 30 до 300

500

300

80

50

-

-

20000

7000

800

200

-

-


Предельно допустимые значения ППЭ ЭМП в диапазоне частот 300МГц…300ГГц следует определять исходя из допустимой энергетической нагрузки и времени воздействия по формуле

 (10.4)

где  - предельно допустимое значение плотности потока энергии,

(, );

 - предельно допустимая величина энергетической нагрузки, равная

 ();- коэффициент ослабления биологической эффективности, равный:

- для всех случаев воздействия, исключая облучение от вращающихся и сканирующих антенн;

- для случаев облучения от вращающихся и сканирующих антенн с частотой вращения или сканирования не более 1 Гц и скважностью не менее 50;

Т - время пребывания в зоне облучения за рабочую смену, ч.

Во всех случаях максимальное значение  не должно превышать  ().

10.4 Средства и методы защиты от электромагнитного излучения.

Защита персонала от воздействия электромагнитных полей радиочастот (ЭМИ РЧ) осуществляется путем проведения организационных и инженерно-технических, лечебно-профилактических мероприятий, а также использования средств индивидуальной защиты.

К организационным мероприятиям относятся: выбор рациональных режимов работы оборудования; ограничение места и времени нахождения персонала в зоне воздействия ЭМИ РЧ (защита расстоянием и временем) и т.п.

Инженерно-технические мероприятия включают: рациональное размещение оборудования; использование средств, ограничивающих поступление электромагнитной энергии на рабочие места персонала (поглотители мощности, экранирование, использование минимальной необходимой мощности генератора); обозначение и ограждение зон с повышенным уровнем ЭМИ РЧ.

Лечебно-профилактические мероприятия осуществляются в целях предупреждения, ранней диагностики и лечения нарушений в состоянии здоровья работника, связанные с воздействием ЭМИ РЧ, и включают предварительные при поступлении на работу и периодические медицинские осмотры. К средствам индивидуальной защиты относятся защитные очки, щитки, шлемы, защитная одежда (комбинезоны, халаты и т.д.).

Способ защиты в каждом конкретном случае должен определяться с учетом рабочего диапазона частот, характера выполняемых работ. Методика определения приведена на рисунке10.1.

Рисунок 10.1 Классификация защитных методов и средств защиты от электромагнитных излучений радиочастот.

В поглощающих экранах используются специальные материалы, обеспечивающие поглощение излучения соответствующей длины волны. В зависимости от излучаемой мощности и взаимного расположения источника и рабочих мест конструктивное решение экрана может быть различным (замкнутая камера, щит, чехол, штора и т.д.).

При изготовлении экрана в виде замкнутой камеры вводы волноводов, коаксиальных фидеров, воды, воздуха, выводы ручек управления и элементов настройки не должны нарушать экранирующих свойств камеры.

Экранирование смотровых окон, приборных панелей проводится с помощью радиозащитного стекла. Для уменьшения просачивания электромагнитной энергии через вентиляционные жалюзи последние экранируются металлической сеткой либо выполняются в виде запредельных волноводов.

Уменьшение утечек энергии из фланцевых сочленений волноводов достигается путем применения "дроссельных фланцев", уплотнения сочленений с помощью прокладок из проводящих (фосфористая бронза, медь, алюминий, свинец и другие металлы) и поглощающих материалов, осуществления дополнительного экранирования.

Средства индивидуальной защиты следует использовать в случаях, когда снижение уровней ЭМИ РЧ с помощью общей защиты технически невозможно. Если защитная одежда изготовлена из материала, содержащего в своей структуре металлический провод, она может использоваться только в условиях, исключающих прикосновение к открытым токоведущим частям установок.

При работе внутри экранированных помещений (камер) стены, пол и потолок этих помещений должны быть покрыты радиопоглощающими материалами. В случае неправильного излучения допускается применение поглощающих покрытий только на соответствующих участках стен, потолка, пола.

В тех случаях, когда уровни ЭМИ РЧ на рабочих местах внутри экранированного помещения превышают ПДУ, персонал необходимо выводить за пределы камер.

В зависимости от условий облучения, характера и места нахождения источников ЭМИ РЧ могут быть применены различные средства и методы защиты от облучения: защита временем; защита расстоянием; экранирование источника излучения; уменьшение излучения непосредственно в самом источнике излучения; экранирование рабочих мест; средства индивидуальной защиты; выделение зон излучения.

Защита временем предусматривает ограничение времени пребывания человека в электромагнитном поле и применяется, когда нет возможности снизить интенсивность излучения до допустимых значений. Значения предельно допустимых уровней напряженности электрической (ЕПДУ) и магнитной (HПДУ) составляющих в зависимости от продолжительности воздействия приведены в таблице 10.2.

Таблица 10.2.

Продолжительность воздействия, t, ч

ЕПДУ, В/м

HПДУ, А/м


0,03...3 Мгц

3...30 Мгц

30...300 МГц

0,03...3 МГц

30...50 Мгц

8,0 и более

50

30

10

5,0

0,30

7,5

52

31

10

5,0

0,31

7,0

53

32

11

5,3

0,32

6,5

55

33

11

5,5

0,33

6,0

58

34

12

5,8

0,34

5,5

60

36

12

6,0

0,36

5,0

63

37

13

6,3

0,38

4,5

67

39

13

6,7

0,40

4,0

71

42

14

7,1

0,42

3,5

76

45

15

7,6

0,45

3,0

82

48

16

8,2

0,49

2,5

89

52

18

8,9

0,54

2,0

100

59

20

10,0

0,60

1,5

115

68

23

11,5

0,69

1,0

141

84

28

14,2

0,85

0,5

200

118

40

20,0

0,25

283

168

57

28,3

1,70

0,125

400

236

80

40,0

2,40

0,08 и менее

500

296

80

50,0

3,00

Примечание. При продолжительности воздействия менее 0,08 ч дальнейшее повышение интенсивности воздействия не допускается.

Значения предельно допустимых уровней плотности потока энергии (ППЭПДУ) в зависимости от продолжительности воздействия ЭМИ РЧ приведены в табл. 10.3.

Таблица 10.3.

Продолжительность воздействия, t, ч

ППЭПДУ, мкВт/см2

8,0 и более

25

7,5

27

7,0

29

6,5

31

6,0

33

5,5

36

5,0

40

4,5

44

4,0

50

3,5

57

3,0

67

2,5

80

2,0

100

1,5

133

1,0

200

0,5

400

0,25

800

0,20 и менее

1000

Примечание. При продолжительности воздействия менее 0,2 часа дальнейшее повышение интенсивности воздействия не допускается.

Защита расстоянием применяется в том случае, если невозможно ослабить интенсивность облучения другими мерами, в том числе и сокращением времени пребывания человека в опасной зоне. В этом случае прибегают к увеличению расстояния между излучателем и обслуживающим персоналом.

Уменьшение мощности излучения непосредственно в самом источнике излучения достигается за счет применения специальных устройств. С целью предотвращения излучения в рабочее помещение в качестве нагрузки генераторов вместо открытых излучателей применяют поглотители мощности (эквивалент антенны и нагрузки источников ЭМИ РЧ), при этом интенсивность излучения ослабляется до 60 дБ и более. Промышленностью выпускаются эквиваленты антенн, рассчитанные на поглощение излучения мощностью 5, 10, 30, 50, 100 и 250 Вт с длинами волн 3,1...3,5 и 6...1000 см.

Экранирование источников излучения используется для снижения интенсивности электромагнитного поля на рабочем месте или устранении опасных зон излучения. В этом случае применяются экраны из металлических листов или сеток в виде замкнутых камер, шкафов и кожухов.

Основной характеристикой каждого экрана является степень ослабления Э электромагнитного поля (10.5), называемая эффективностью экранирования, которая представляет собой отношение Е, Н, ППЭ в данной точке при отсутствии экрана к Eэ, Hэ, ППЭэ в той же точке при наличии экрана.

 (10.5)

Экранирование источников ЭМИ РЧ или рабочих мест осуществляется с помощью отражающих или поглощающих экранов (стационарных или переносных). Отражающие экраны выполняются из металлических листов, сетки, ткани с микропроводом и др. (таблица 10.4).

Таблица 10.4

Наименование материала

ГОСТ, ТУ

Толщина, мм

Диапазон частот, Гц

Ослабление, дБ

Листовая Сталь Ст3

ГОСТ 19903-74

1,4

30Мгц...40ГГц

100

Фольга алюминиевая

ГОСТ 618-73

0,08

-

80

Фольга медная

ГОСТ 5638-75

0,08

-

80

Сетка стальная тканая

ГОСТ 5336-73

0,3…1,3

-

30

Радиозащитное стекло с одно- или двусторонним полупроводниковым покрытием

ТУ 21 -54-41- 73

6

30Мгц…30ГГц

20...40

Ткань хлопчатобумажная с микропроводом

ОСТ 17-28-79

-

-

20...40

Ткань металлизированная «Восход»

-

-

10кГц...30ГГц

40...65

Ткань трикотажная

Ту-6-06-С202 - 90

-

300кГц...30МГц

15...40


Конечным звеном в цепи инженерных средств защиты являются средства индивидуальной защиты. В качестве индивидуальных средств защиты глаз от действия СВЧ-излучений рекомендуются специальные защитные очки, стёкла которых покрыты тонким слоем металла (золота, диоксида олова).

10.5 Оценка опасности для персонала при испытаниях системы на испытательном полигоне


В данном разделе произведен расчет электромагнитных полей действующих на персонал, находящийся на РМО. Оценка уровней ЭМП проводится на этом этапе методом математического моделирования. При этом наиболее важные исходные данные, необходимые для оценки, целесообразно определять экспериментально. При отсутствии такой возможности они определяются расчетно-теоретическим путем. Такими исходными данными, в частности, являются значения множителя дополнительного (относительно свободного пространства) ослабления радиоволн  на приземных трассах распространения, а также характеристики диаграммы направленности передающей антенны радиолокационной системы (РЛС) в ближней и дальней зонах излучения. Величина плотности потока мощности в дальней зоне излучения передающей антенны П определяется по формуле:

 (10.6)

где:  - плотность потока мощности в главном луче диаграммы направленности (ДН) антенны на расстоянии R;

- значение нормированной по мощности ДН передающей антенны в направлении на облучаемую точку;

θ,ϕ - углы отклонения направления на облучаемую точку от электрической оси луча ДН антенны в вертикальной и горизонтальной плоскостях соответственно.

Величина , в свою очередь, определяется выражением


где: P - среднее значение излучаемой мощности;- коэффициент усиления передающей антенны

в направлении электрической оси ДН;- расстояние от передающей антенны до точки приема ЭМП.

Величина   П0(R) в ближней зоне излучения передающей антенны с прямоугольным раскрывом в осевом направлении диаграммы направленности может быть приближенно оценена по формуле:

(10.7)

где: k - коэффициент использования поверхности антенны;

- расстояние от антенны до РМО, равное R=20 м;- среднее значение излучаемой мощности, равное P=1кВт

 - расстояние от центра антенны до границ ее дальней зоны, где L - размер источника излучения, равный L=6 м,

а  - длина волны, равная =30 см;

 - аппроксимирующая функция, задающая плавный переход величины плотности потока мощности при удалении расчетной точки из ближней зоны в дальнюю зону. Эта функция определяется по формуле

(10.8)

Подставив численные значения величин, в формулы указанные выше граница дальней зоны = 60 м. Следовательно, необходимо применить формулы для расчета ППЭ в ближней зоне.

Выполнив расчет полученное значение =186 мкВт/.

10.6 Выводы


Полученное значение позволяет находится персоналу на данном расстоянии вне РМО не более 1 часа, однако т.к. оператор находится на РМО дополнительной защиты не требуется, ввиду того, что РМО расположено в кузове унифицированного нулевого габарита ( КУНГе) , изготовленным с учетом специфики использования в составе РЛС ( внешняя оболочка представляет собой листовую сталь, толщиной 1,5 мм, обеспечивающую затухание не менее 100 дБ )

Заключение


В работе рассмотрены математическое описание ЛЧМ сигнала, комплексной огибающей, приведены эпюры ее квадратурных составляющих в дискретном виде с параметрами, указанными в техническом задании, а так же модуль спектра и фаза. Рассмотрены автокорреляционная функция и приведены ее эпюры с коррекцией боковых лепестков и без коррекции, показано, что боковые лепестки, максимальные значения которых находятся ниже уровня - 13 (дБ) можно уменьшить до - 31,5 (дБ), при расширении главного лепестка примерно в полтора раза. Кратко рассмотрены методы цифровой обработки радиосигнала сложной формы с ЛЧМ.

Из выполненного сравнения методов обработки радиосигнала сложной формы в режиме реального времени показано, что при умеренных порядках рассматриваемых цифровых фильтров быстрее оказывается фильтр с конечной импульсной характеристикой, функционирующий по алгоритму линейной свертки.

Разработан алгоритм параллельного вычисления линейной свертки. Затем, составлена структурная схема системы обработки радиосигнала сложной формы, на основании которой произведен синтез.

Был произведен выбор элементной базы с учетом обеспечения возможности последующей модернизации.

С целью убеждения в правильности работы системы на ее входы подаются тестовые сигналы, как было наглядно видно из результатов моделирования, система действительно производит обработку комплексной огибающей ЛЧМ сигнала с коррекцией боковых лепестков, что подтверждается сопоставлением результатов математического моделирования и моделирования работы фильтра.

Также был проведен анализ устройства посредством Signal Tap II.

При этом максимальный уровень всех боковых лепестков ВКФ тестового сигнала с параметрами, заданными в техническом задании, не превосходит значения -42,0 (дБ), что соответствует результату, полученный в ходе математического моделирования.

На основании результатов моделирования и данных зарегистрированных с устройства, сделан вывод о корректной работе системы обработки радиосигнала сложной формы с параметрами, полностью удовлетворяющими поставленному техническому заданию.

Список источников


1. Ч. Кук, М. Бернфельд. Радиолокационные сигналы. Пер. с английского под ред. В. С. Кельзона. М.: Издательство «Советское радио», 1971. - 568 с.

. Рабинер Л., Гоулд Б. Теория и применение цифровой обработки сигналов. - М.: Издательство «МИР», 1978. - 848 с.

. Garcia Jesus, Michell Juan A., Buron Angel M. VLSI configurable delay commutator for a pipeline FFT architecture. - IEEE Trans. Signal Process.. 1999. 47, N 11, p. 3098 - 3207

. Розанов В.С. Безопасность жизнедеятельности. Электробезопасность: Учебное пособие. - М.:МИРЭА, 1999:ил.

. Пяткин А. К., Никитин М. В. Реализация на ПЛИС быстрого преобразования Фурье для алгоритмов ЦОС в многофункциональных РЛС. - Цифровая обработка сигналов 2003. - N 3. - c. 21 - 25

6. Григорьев Л.Н. Радиолокационные системы. - М: МИРЭА, 2003. - 144с.

7. Куприянов М. С., Матюшкин Б. Д. Цифровая обработка сигналов: процессоры, алгоритмы, средства проектирования. - СПб.: Политехника, 1998. - 592 с.

. Стешенко В. Б. ПЛИС фирмы «ALTERA»: Элементная база, система проектирования и языки описания аппаратуры. - М.: Издательский дом «Додэка - XXI», 2002. - 576 с.

. Башкатов И.П., Васильев А.Г., Гладышев И.В., Савицкий В.А.; под общ. ред. Парамонова В.А. Методические указания по дипломному проектированию. - М.: МИРЭА, 2001. - 56 с.

. ГОСТ 12.1.006-84 «Электромагнитные поля радиочастот».

. СанПиН 2.2.4.1329-03 «Требование по защите персонала от воздействия импульсных электромагнитных полей».

. Безопасность жизнедеятельности. Белов С.В., 2009

. Гигиена труда при воздействии электромагнитных полей. / Под ред. Ковшило В.Е. - М.: Медицина, 1983

. Сергеев И.В. Экономика организации предприятия. - М.: Финансы и статистика, 2007. - 574 с.

. Грибов В.Д., Грузинов В.П. Экономика предприятия. - М.: Финансы и статистика, 2007. - 336 с.

. Маниловский Р.Г. Бизнес-план. Методические материалы. 3-е издание. - Финансы и статистика, 2000.

. Г.М. Батишева, М.В. Забродина, Р.Р. Курганова, Н.Д. Николаева, И.А. Нуль, И.Р. Никорук, Вл.И. Солнцев, В.И. Солнцев. Экономика предприятия и основы предпринимательства - М.: МИРЭА, 2001. - 20с.

. Экономика предприятия: Учебник.; под ред. О.И. Волкова. - М.: ИНФРА-М, 2004. - 520с.

Приложения

 

Приложение А - программный код модуля «correlation»

IEEE;IEEE.STD_LOGIC_1164.ALL;IEEE.NUMERIC_STD.ALL;IEEE.STD_LOGIC_SIGNED.ALL;corellation is

(corr_depth : natural := 256;_SIZE_CD : natural := 5;_Y_WIDTH : natural := 13

);( -- inputs_X : IN SIGNED (X_Y_WIDTH-1 downto 0);_Y : IN SIGNED (X_Y_WIDTH-1 downto 0);: IN SIGNED (2*W_SIZE_CD+1 downto 0);: IN SIGNED (2*W_SIZE_CD+1 downto 0);: IN SIGNED (2*W_SIZE_CD+1 downto 0);: IN SIGNED (2*W_SIZE_CD+1 downto 0);

- outputs_XR : OUT SIGNED (W_SIZE_CD + X_Y_WIDTH+1 + 8 downto 0);_YR : OUT SIGNED (W_SIZE_CD + X_Y_WIDTH+1 + 8 downto 0);_X1 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);_Y1 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);_X2 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);_Y2 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);_X3 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);_Y3 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);_X4 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);_Y4 : OUT SIGNED (X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);_en : OUT STD_LOGIC;_CD: OUT INTEGER RANGE 0 TO 63;: OUT STD_LOGIC;

------_X : OUT SIGNED (X_Y_WIDTH downto 0);_C : OUT SIGNED (W_SIZE_CD downto 0);_CC : OUT SIGNED (W_SIZE_CD downto 0);_CCC : OUT SIGNED (W_SIZE_CD downto 0);_CCCC : OUT SIGNED (W_SIZE_CD downto 0);

-clocks: IN STD_LOGIC;_160 : IN STD_LOGIC;

-driving: IN STD_LOGIC;: IN STD_LOGIC;: IN STD_LOGIC_VECTOR (19 downto 0)

);corellation;Behavioral of corellation issr_width is SIGNED((X_Y_WIDTH-1) downto 0);sr_length is array ((corr_depth-1) downto 0) of sr_width;CORR_ENA: STD_LOGIC;mult_ENA: STD_LOGIC;LS_READ: STD_LOGIC;RES_160: STD_LOGIC;RES_160D: STD_LOGIC;RES_M160: STD_LOGIC;CEN: STD_LOGIC;MS_160: STD_LOGIC_VECTOR (19 downto 0);MS_160D: STD_LOGIC_VECTOR (19 downto 0);MS_M160: STD_LOGIC_VECTOR (19 downto 0);COUNT_Z: INTEGER RANGE 0 TO corr_depth-1 ;COUNT_H: INTEGER RANGE 0 TO corr_depth-1;COUNT_H1: INTEGER RANGE 0 TO corr_depth-1;COUNT_H2: INTEGER RANGE 0 TO corr_depth-1 ;COUNT_H3: INTEGER RANGE 0 TO corr_depth-1;COUNT_H4: INTEGER RANGE 0 TO corr_depth-1 ;timer: INTEGER RANGE 0 TO corr_depth-1 ;COUNT_H1P: INTEGER RANGE 0 TO (corr_depth/4)-1 ;LS_LENGHT: INTEGER RANGE 0 TO 2*corr_depth ;COUNT_LS_D: INTEGER RANGE 0 TO 2*corr_depth ;X_SH: sr_length;Y_SH: sr_length;en_o: STD_LOGIC;out_X1I : SIGNED (X_Y_WIDTH downto 0);out_Y1I : SIGNED (X_Y_WIDTH downto 0);out_X2I : SIGNED (X_Y_WIDTH downto 0);out_Y2I : SIGNED (X_Y_WIDTH downto 0);out_X3I : SIGNED (X_Y_WIDTH downto 0);out_Y3I : SIGNED (X_Y_WIDTH downto 0);out_X4I : SIGNED (X_Y_WIDTH downto 0);out_Y4I : SIGNED (X_Y_WIDTH downto 0);C1 : SIGNED (W_SIZE_CD downto 0);D1 : SIGNED (W_SIZE_CD downto 0);C2 : SIGNED (W_SIZE_CD downto 0);D2 : SIGNED (W_SIZE_CD downto 0);C3 : SIGNED (W_SIZE_CD downto 0);D3 : SIGNED (W_SIZE_CD downto 0);C4 : SIGNED (W_SIZE_CD downto 0);D4 : SIGNED (W_SIZE_CD downto 0);

-comp_multipXpY_1: SIGNED ((X_Y_WIDTH) downto 0);CpD_1: SIGNED ((W_SIZE_CD) downto 0);YmX_1: SIGNED (X_Y_WIDTH downto 0);XI_1: SIGNED (X_Y_WIDTH downto 0);YI_1: SIGNED (X_Y_WIDTH downto 0);CI_1: SIGNED (W_SIZE_CD downto 0);DI_1: SIGNED (W_SIZE_CD downto 0);XI1_1: SIGNED (X_Y_WIDTH downto 0);CI1_1: SIGNED (W_SIZE_CD downto 0);DI1_1: SIGNED (W_SIZE_CD downto 0);K2_1: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);K1_1: SIGNED (W_SIZE_CD + X_Y_WIDTH+1 downto 0);K3_1: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);Re1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);Im1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

-XpY_2: SIGNED ((X_Y_WIDTH) downto 0);CpD_2: SIGNED ((W_SIZE_CD) downto 0);YmX_2: SIGNED (X_Y_WIDTH downto 0);XI_2: SIGNED (X_Y_WIDTH downto 0);YI_2: SIGNED (X_Y_WIDTH downto 0);CI_2: SIGNED (W_SIZE_CD downto 0);DI_2: SIGNED (W_SIZE_CD downto 0);XI1_2: SIGNED (X_Y_WIDTH downto 0);CI1_2: SIGNED (W_SIZE_CD downto 0);DI1_2: SIGNED (W_SIZE_CD downto 0);K2_2: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);K1_2: SIGNED (W_SIZE_CD + X_Y_WIDTH+1 downto 0);K3_2: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);Re2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);Im2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

-   

SIGNAL XpY_3: SIGNED ((X_Y_WIDTH) downto 0);CpD_3: SIGNED ((W_SIZE_CD) downto 0); YmX_3: SIGNED (X_Y_WIDTH downto 0);XI_3: SIGNED (X_Y_WIDTH downto 0);YI_3: SIGNED (X_Y_WIDTH downto 0);CI_3: SIGNED (W_SIZE_CD downto 0);DI_3: SIGNED (W_SIZE_CD downto 0);XI1_3: SIGNED (X_Y_WIDTH downto 0);CI1_3: SIGNED (W_SIZE_CD downto 0);DI1_3: SIGNED (W_SIZE_CD downto 0);K2_3: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);K1_3: SIGNED (W_SIZE_CD + X_Y_WIDTH+1 downto 0);K3_3: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);Re3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);Im3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

-XpY_4: SIGNED ((X_Y_WIDTH) downto 0);CpD_4: SIGNED ((W_SIZE_CD) downto 0);YmX_4: SIGNED (X_Y_WIDTH downto 0);XI_4: SIGNED (X_Y_WIDTH downto 0);YI_4: SIGNED (X_Y_WIDTH downto 0);CI_4: SIGNED (W_SIZE_CD downto 0);DI_4: SIGNED (W_SIZE_CD downto 0);XI1_4: SIGNED (X_Y_WIDTH downto 0);CI1_4: SIGNED (W_SIZE_CD downto 0);DI1_4: SIGNED (W_SIZE_CD downto 0);K2_4: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);K1_4: SIGNED (W_SIZE_CD + X_Y_WIDTH+1 downto 0);K3_4: SIGNED (X_Y_WIDTH + W_SIZE_CD+1 downto 0);Re4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);Im4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1 downto 0);

-accumulationaddRe1_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);addIm1_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);addRe2_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);addIm2_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);addRe3_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);addIm3_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);addRe4_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);addIm4_out: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);accRe1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);accIm1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);accRe2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);accIm2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);accRe3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);accIm3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);accRe4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);accIm4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0);

-SUMSAcc_Re_1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Acc_Re_2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Acc_Re_3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Acc_Re_4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Acc_Im_1: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Acc_Im_2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Acc_Im_3: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Acc_Im_4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);

-Sum_Re_1_2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Sum_Re_3_4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Sum_Im_1_2: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Sum_Im_3_4: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Sum_Re: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);Sum_Im: SIGNED(X_Y_WIDTH + W_SIZE_CD+1+8 downto 0);(CLK_160)RISING_EDGE (CLK_160)THEN_160 <= MS;_160D <= MS_160;_M160 <= (NOT MS_160D) AND MS_160;IF;process;(CLK_160) -- corellation EnableRISING_EDGE (CLK_160)THENNRD = '1' AND MS(1) = '1' THEN_ENA <= '1';

(KRDNS = '1' AND MS(1) = '1')_ENA <= '0';CORR_ENA <= CORR_ENA;IF;IF;process;(CLK_160) -- multip EnableRISING_EDGE (CLK_160)THENMS_M160(1) = '1' and CORR_ENA = '1' THEN_ENA <= '1';(COUNT_H1 = (corr_depth/4)-2 and CORR_ENA = '1') THEN_ENA <= '0';mult_ENA <= mult_ENA;IF;IF;process;

-(clk_160) --shifting and sorting X/Y(rising_edge(clk_160)) then(COUNT_H1P = 0 and MS_M160(1) = '1') thenlost_SH((corr_depth-1) downto 1) <= X_SH((corr_depth-2) downto 0);_SH((corr_depth-1) downto 1) <= Y_SH((corr_depth-2) downto 0);

- Load new data into the first stage_SH(0) <= in_X;_SH(0) <= in_Y;if;(en_o) is'1' =>_X1I (X_Y_WIDTH-1 downto 0) <= X_SH(COUNT_H1);_X2I (X_Y_WIDTH-1 downto 0) <= X_SH(COUNT_H2);_X3I (X_Y_WIDTH-1 downto 0) <= X_SH(COUNT_H3);_X4I (X_Y_WIDTH-1 downto 0) <= X_SH(COUNT_H4);_Y1I (X_Y_WIDTH-1 downto 0)<= Y_SH(COUNT_H1);_Y2I (X_Y_WIDTH-1 downto 0)<= Y_SH(COUNT_H2);_Y3I (X_Y_WIDTH-1 downto 0)<= Y_SH(COUNT_H3);_Y4I (X_Y_WIDTH-1 downto 0)<= Y_SH(COUNT_H4);_X1I (X_Y_WIDTH)<= X_SH(COUNT_H1)(X_Y_WIDTH-1);_X2I (X_Y_WIDTH)<= X_SH(COUNT_H2)(X_Y_WIDTH-1);_X3I (X_Y_WIDTH)<= X_SH(COUNT_H3)(X_Y_WIDTH-1);_X4I (X_Y_WIDTH)<= X_SH(COUNT_H4)(X_Y_WIDTH-1);_Y1I (X_Y_WIDTH)<= Y_SH(COUNT_H1)(X_Y_WIDTH-1);_Y2I (X_Y_WIDTH)<= Y_SH(COUNT_H2)(X_Y_WIDTH-1);_Y3I (X_Y_WIDTH)<= Y_SH(COUNT_H3)(X_Y_WIDTH-1);_Y4I (X_Y_WIDTH)<= Y_SH(COUNT_H4)(X_Y_WIDTH-1);others =>_X1I (X_Y_WIDTH downto 0) <= "00000000000000";_X2I (X_Y_WIDTH downto 0) <= "00000000000000";_X3I (X_Y_WIDTH downto 0) <= "00000000000000";_X4I (X_Y_WIDTH downto 0) <= "00000000000000";_Y1I (X_Y_WIDTH downto 0)<= "00000000000000";_Y2I (X_Y_WIDTH downto 0)<= "00000000000000";_Y3I (X_Y_WIDTH downto 0)<= "00000000000000";_Y4I (X_Y_WIDTH downto 0)<= "00000000000000";case;if;process;

-------------------<=CD1 (W_SIZE_CD downto 0);<=CD1 (2*W_SIZE_CD+1 downto W_SIZE_CD+1);<=CD2 (W_SIZE_CD downto 0);<=CD2 (2*W_SIZE_CD+1 downto W_SIZE_CD+1);<=CD3 (W_SIZE_CD downto 0);<=CD3 (2*W_SIZE_CD+1 downto W_SIZE_CD+1);<=CD4 (W_SIZE_CD downto 0);<=CD4 (2*W_SIZE_CD+1 downto W_SIZE_CD+1);

-------------------_CD <= COUNT_H1;_X <= XI_1;_C <= DI_1;_CC <= DI_2;_CCC <= DI_3;_CCCC <= DI_4;<= corr_ena;(clk_160) --complex multiplyerRISING_EDGE (clk_160) THEN_1<=out_X1I; YI_1<=out_Y1I;_1<=C1; DI_1<=D1;_1<=XI_1;_1<=CI_1; DI1_1<=DI_1;_2<=out_X2I; YI_2<=out_Y2I;_2<=C2; DI_2<=D2;_2<=XI_2;_2<=CI_2; DI1_2<=DI_2;_3<=out_X3I; YI_3<=out_Y3I;_3<=C3; DI_3<=D3;_3<=XI_3;_3<=CI_3; DI1_3<=DI_3;_4<=out_X4I; YI_4<=out_Y4I;_4<=C4; DI_4<=D4;_4<=XI_4;_4<=CI_4; DI1_4<=DI_4;IF;RISING_EDGE (clk_160) THEN_1<=CI_1 + DI_1;_1<=XI_1 + YI_1;_1<=YI_1 - XI_1;_2<=CI_2 + DI_2;_2<=XI_2 + YI_2;_2<=YI_2 - XI_2;_3<=CI_3 + DI_3;_3<=XI_3 + YI_3;_3<=YI_3 - XI_3;_4<=CI_4 + DI_4;_4<=XI_4 + YI_4;_4<=YI_4 - XI_4;IF;RISING_EDGE (clk_160) THEN

-_1<=XpY_1*DI1_1;_1<=CpD_1*XI1_1;_1<=YmX_1*CI1_1;

-_2<=XpY_2*DI1_2;_2<=CpD_2*XI1_2;_2<=YmX_2*CI1_2;

-_3<=XpY_3*DI1_3;_3<=CpD_3*XI1_3;_3<=YmX_3*CI1_3;

-_4<=XpY_4*DI1_4;_4<=CpD_4*XI1_4;_4<=YmX_4*CI1_4;IF;

-RISING_EDGE (clk_160) THEN<=K1_1-K2_1;<=K1_1+K3_1;<=K1_2-K2_2;<=K1_2+K3_2;<=K1_3-K2_3;<=K1_3+K3_3;<=K1_4-K2_4;<=K1_4+K3_4;IF;process;(clk_160)

-        IF RISING_EDGE (clk_160) THEN(timer=0) THEN --ACCUMULATOR

- Clear the accumulated data<= "00000000000000000000000000";<= "00000000000000000000000000";<= "00000000000000000000000000";<= "00000000000000000000000000";<= "00000000000000000000000000";<= "00000000000000000000000000";<= "00000000000000000000000000";<= "00000000000000000000000000";<= addRe1_out;<= addIm1_out;<= addRe2_out;<= addIm2_out;<= addRe3_out;<= addIm3_out;<= addRe4_out;<= addIm4_out;IF;

-        END IF;RISING_EDGE (clk_160) THEN -- Store accumulation result in a registertimer=0 THEN --сброс регистра аккумулятора по timer_out <= "00000000000000000000000000";_out <= "00000000000000000000000000";_out <= "00000000000000000000000000";_out <= "00000000000000000000000000";_out <= "00000000000000000000000000";_out <= "00000000000000000000000000";_out <= "00000000000000000000000000";_out <= "00000000000000000000000000";timer > 5 and timer < 71 THEN_out <= (Re1 + accRe1);_out <= (Im1 + accIm1);_out <= (Re2 + accRe2);_out <= (Im2 + accIm2);_out <= (Re3 + accRe3);_out <= (Im3 + accIm3);_out <= (Re4 + accRe4);_out <= (Im4 + accIm4);IF;IF;IF;RISING_EDGE (clk_160) THEN --accum result --(X_Y_WIDTH + W_SIZE_CD+1+7 downto 0)timer=72 THEN_Re_1(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0)<=addRe1_out;_Im_1(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0)<=addIm1_out;_Re_2(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0)<=addRe2_out;_Im_2(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0)<=addIm2_out;_Re_3(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0)<=addRe3_out;_Im_3(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0)<=addIm3_out;_Re_4(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0)<=addRe4_out;_Im_4(X_Y_WIDTH + W_SIZE_CD+1+6 downto 0)<=addIm4_out;

-_Re_1(X_Y_WIDTH + W_SIZE_CD+1+7)<=addRe1_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Im_1(X_Y_WIDTH + W_SIZE_CD+1+7)<=addIm1_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Re_2(X_Y_WIDTH + W_SIZE_CD+1+7)<=addRe2_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Im_2(X_Y_WIDTH + W_SIZE_CD+1+7)<=addIm2_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Re_3(X_Y_WIDTH + W_SIZE_CD+1+7)<=addRe3_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Im_3(X_Y_WIDTH + W_SIZE_CD+1+7)<=addIm3_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Re_4(X_Y_WIDTH + W_SIZE_CD+1+7)<=addRe4_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Im_4(X_Y_WIDTH + W_SIZE_CD+1+7)<=addIm4_out(X_Y_WIDTH + W_SIZE_CD+1+6);

-_Re_1(X_Y_WIDTH + W_SIZE_CD+1+8)<=addRe1_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Im_1(X_Y_WIDTH + W_SIZE_CD+1+8)<=addIm1_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Re_2(X_Y_WIDTH + W_SIZE_CD+1+8)<=addRe2_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Im_2(X_Y_WIDTH + W_SIZE_CD+1+8)<=addIm2_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Re_3(X_Y_WIDTH + W_SIZE_CD+1+8)<=addRe3_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Im_3(X_Y_WIDTH + W_SIZE_CD+1+8)<=addIm3_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Re_4(X_Y_WIDTH + W_SIZE_CD+1+8)<=addRe4_out(X_Y_WIDTH + W_SIZE_CD+1+6);_Im_4(X_Y_WIDTH + W_SIZE_CD+1+8)<=addIm4_out(X_Y_WIDTH + W_SIZE_CD+1+6);IF;IF;RISING_EDGE (clk_160) THEN --accum summ_Re_1_2<=Acc_Re_1+Acc_Re_2;_Im_1_2<=Acc_Im_1+Acc_Im_2;_Re_3_4<=Acc_Re_3+Acc_Re_4;_Im_3_4<=Acc_Im_3+Acc_Im_4;IF;RISING_EDGE (clk_160) THEN --accum summ_Re<=Sum_Re_1_2+Sum_Re_3_4;_Im<=Sum_Im_1_2+Sum_Im_3_4;IF;PROCESS;_XR<=Sum_Re;_YR<=Sum_Im;_X1<=Acc_Re_1;_Y1<=Acc_Im_1;_X2<=Acc_Re_2;_Y2<=Acc_Im_2;_X3<=Acc_Re_3;_Y3<=Acc_Im_3;_X4<=Acc_Re_4;_Y4<=Acc_Im_4;(clk_160) --shift C_D;process;(CLK_160) -- counter ZRISING_EDGE (CLK_160)THENRES_160 = '1' THEN_Z <= 0;(COUNT_H1 = 1) THEN_Z <= COUNT_Z + 1;_Z <= COUNT_Z;IF;IF;process;(CLK_160) -- counter hRISING_EDGE (CLK_160)THEN_160 <= NRD AND MS(1) AND not CORR_ENA;_160D <= RES_160;_M160 <= (NOT RES_160D) AND RES_160;IF;_H <= COUNT_H1P;RISING_EDGE (CLK_160)THEN_H4 <= COUNT_H + ((3*corr_depth)/4);_H3 <= COUNT_H + ((2*corr_depth)/4);_H2 <= COUNT_H + (corr_depth/4);_H1 <= COUNT_H + 0;RES_160 = '1' THEN_H1P <= 0;(CORR_ENA = '1' and mult_ENA = '1') THEN_H1P <= COUNT_H1P + 1;IF;IF;RISING_EDGE (CLK_160)THENMS_M160(1) = '1' THEN<= 0;(CORR_ENA = '1') THEN<= timer + 1;IF;IF;process;(CLK_160) -- out enablingRISING_EDGE (CLK_160)THENtimer = 0 THEN_o <= '1';timer = (corr_depth/4) THEN_o <= '0';en_o <= en_o;IF;IF;process;_en <= en_o;Behavioral;

Приложение Б - программный код модуля «mem_drv»

IEEE;IEEE.STD_LOGIC_1164.ALL;IEEE.NUMERIC_STD.ALL;IEEE.STD_LOGIC_SIGNED.ALL;mem_drv is

(corr_depth : natural := 256;_SIZE_CD : natural := 6

);(in_C : IN STD_LOGIC_VECTOR (W_SIZE_CD-1 downto 0);_D : IN STD_LOGIC_VECTOR (W_SIZE_CD-1 downto 0);_ENA : IN STD_LOGIC;_ROM : OUT INTEGER RANGE 0 to 255;_RAM1 : OUT INTEGER RANGE 0 to 127;_RAM2 : OUT INTEGER RANGE 0 to 127;_RAM3 : OUT INTEGER RANGE 0 to 127;_RAM4 : OUT INTEGER RANGE 0 to 127;_RAM_1 : OUT STD_LOGIC;: IN STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0);: IN STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0);: IN STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0);: IN STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0);_160 : IN STD_LOGIC;: OUT STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0);: OUT STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0);: OUT STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0);: OUT STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0);_RAM1 : IN INTEGER RANGE 0 to 63;_RAM_2 : OUT STD_LOGIC;_IN : OUT STD_LOGIC_VECTOR(2*W_SIZE_CD-1 downto 0)

);mem_drv;Behavioral of mem_drv isIWE_RAM_1: STD_LOGIC;IWE_RAM_2: STD_LOGIC;NCORR: STD_LOGIC;RWR: STD_LOGIC;DRWR: STD_LOGIC;DDRWR: STD_LOGIC;RW_EN: STD_LOGIC;DNCORR: STD_LOGIC;DDNCORR: STD_LOGIC;CORR: STD_LOGIC;COUNT: INTEGER RANGE 0 TO corr_depth;DCOUNT: INTEGER RANGE 0 TO corr_depth;DDCOUNT: INTEGER RANGE 0 TO (corr_depth/2)-1;<= not CORR_ENA;_IN(W_SIZE_CD-1 downto 0) <= in_C;_IN(2*W_SIZE_CD-1 downto W_SIZE_CD) <= in_D;(DDRWR)DDRWR is'0'=> ADRR_RAM1 <= AD_RAM1;_RAM2 <= AD_RAM1+64;_RAM3 <= AD_RAM1;_RAM4 <= AD_RAM1+64;

------------------<= INCD1;<= INCD2;<= INCD3;<= INCD4;'1'=> ADRR_RAM1 <= DDCOUNT;_RAM2 <= 0;_RAM3 <= DDCOUNT;_RAM4 <= 0;

------------------<= "000000000000";<= "000000000000";<= "000000000000";<= "000000000000";others =>_RAM1 <= 0;_RAM2 <= 0;_RAM3 <= 0;_RAM4 <= 0;

------------------<= "000000000000";<= "000000000000";<= "000000000000";<= "000000000000";case;process;(RWR)RWR is'0'=> ADRR_ROM <=0;'1'=> ADRR_ROM <= COUNT;others => ADRR_ROM <= 0;case;process;

-                                    CD1 <= INCD1;

-                                    CD2 <= INCD2;

-                                    CD3 <= INCD3;

-                                    CD4 <= INCD4;(CLK_160) beginRISING_EDGE (CLK_160)THEN_RAM_1<=IWE_RAM_1;_RAM_2<=IWE_RAM_2;<=RWR;<=DRWR;IF;_EN <=RWR or DRWR or DDRWR;RISING_EDGE (CLK_160)THENDCOUNT < 127 and RWR = '1' THEN_RAM_1 <= '1';_RAM_2 <= '0';>= 127 and RWR = '1'_RAM_1 <= '0';_RAM_2 <= '1';_RAM_1 <= '0';_RAM_2 <= '0';IF;<= COUNT;<= DCOUNT;<= NCORR;<= DNCORR;<= (NOT DDNCORR) AND DNCORR;IF;process;

-(CLK_160) beginRISING_EDGE (CLK_160)THENCORR = '1' THEN<= '1';= 255<= '0';RWR <= RWR;IF;IF;process;(CLK_160) -- counterRISING_EDGE (CLK_160)THENCORR = '1' THEN<= 0;(RWR = '1') THEN<= COUNT + 1;<= COUNT;IF;IF;process;Behavioral;


Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!