Регулирование мощности шума по принципам адаптивной фильтрации, отвечающей высоким требованиям к точности настройки

  • Вид работы:
    Дипломная (ВКР)
  • Предмет:
    Безопасность жизнедеятельности
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    2,12 Мб
  • Опубликовано:
    2012-07-06
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Регулирование мощности шума по принципам адаптивной фильтрации, отвечающей высоким требованиям к точности настройки

Реферат

регулирование мощность шум фильтрация

Объектом исследования является система регулирования мощности шума.

Цель работы - разработка системы регулирования мощности шума, построенная на принципах адаптивной фильтрации, отвечающая высоким требованиям к точности настройки.

В процессе работы проводилось исследование возможных путей реализации системы, разработан программно-аппаратный модуль, реализующий наиболее подходящий из рассмотренных алгоритмов. Проведен анализ функционирования и проверка работоспособности системы.

Полученное изделие отличается высокой точностью, легкой встраиваемостью и масштабируемостью.


Введение

В радиолокационных системах для измерения угловых координат используются парциальные приемные каналы в угломестной плоскости. Точность измерения угловых координат зависит от идентичности амплитудно-фазовых характеристик парциальных приемных каналов. В свою очередь, идентичность характеристик определяется разбросами коэффициентов усиления приемных каналов и фазовыми ошибками, а также характеристиками антенн. Существуют трудности обеспечения высокой точности идентичности и стабильности амплитудно-фазовых характеристик приемных каналов. С целью обеспечения идентичности и стабильности амплитудно-фазовых характеристик парциальных приемных каналов вводится коррекция межканального рассогласования.

В связи с тем, что изменение параметров парциальных приемных каналов происходит медленно, коррекция межканального рассогласования осуществляется во время «молчания» РЛС, то есть при отсутствии излучения.

Наибольшее влияние на разброс характеристик в приемных каналах оказывают собственные шумы приемного тракта радиолокационной станции. Стабилизация мощности шумов на выходе аналоговой части приемного тракта осуществляется чаще всего с помощью шумовой автоматической регулировки усиления (ШАРУ). В настоящее время при выборе схем реализации ШАРУ предпочтение отдают цифровым вариантам.

Большинство универсальных устройств обладают недостаточным быстродействием и не всегда позволяют достичь требуемой скорости вычислений в реальном масштабе времени, что вызывает необходимость построения специализированных систем обработки сигналов, например с использованием программируемых логических интегральных схем (ПЛИС).

Анализ экспериментальных результатов эффективности стабилизации мощности шума, полученных в процессе исследования работы различных систем ШАРУ показал, что главное различие между рассмотренными системами ШАРУ заключается в способе и точности оценки значения мощности огибающей шума.


1. Анализ исходных данных и рассмотрение вариантов алгоритма

.1 Анализ исходных данных

Проанализируем исходные данные (ИД), приведённые выше. Целью работы стоит разработка цифровой части системы шумовой автоматической регулировки усиления с применением современной элементной базы с диапазоном регулирования -6 дБ..+6 дБ. Предъявляются следующие требования к параметрам входного сигнала и системы в целом:

­      разрядность входного сигнала - 12 (старший разряд - знак);

­      тактовая частота 24 МГц, синхросигнал с длительностью 0,83 мкс и периодом 15 мс;

­      при использовании квадратурных составляющих сигнала для расчета, темп их следования 1,2 МГц;

­      при использовании аналогового аттенюатора для регулировки, необходимо вырабатывать четырехразрядный код аттенюации, соответствующий диапазону от -6 дБ до +6 дБ с шагом 0,75 дБ;

­      логические уровни напряжения соответствуют транзисторно-транзисторной логике (ТТЛ).

Тактовая частота, разрядность входного сигнала, логические уровни напряжения и параметры синхросигнала являются не корректируемыми параметрами, которые обусловлены исходными данными, в том числе и на смежные системы. В то же время можно задать масштабируемость тех или иных параметров, облегчив тем самым внедрение системы ШАРУ в другие или модернизируемые изделия.

Аналоговый аттенюатор, описанный в исходных данных, выполняет две основные задачи:

­      поддержание уровня напряжения входного сигнала в рамках диапазона работы АЦП;

­      является исполнительным элементом системы ШАРУ.

Вариант построения системы ШАРУ с применением аналогового аттенюатора имеет ряд недостатков:

­      жестко заданный диапазон и довольно большой (0,75 дБ) шаг регулирования, который ограничивает точность подстройки;

­      большее влияние внешних факторов на точность подстройки;

­      более высокие массогабаритные и ценовые показатели.

В связи с вышеизложенным, целесообразно рассмотреть вариант, разработки цифровой системы ШАРУ без использования аналогового аттенюатора, при условии выполнения требований, указанных в ИД.

1.2 Анализ принципов адаптивной фильтрации

Как сказано выше, разрабатываемая в данной работе система необходима для регулировки уровня сигнала входного тракта таким образом, чтобы свести мощность шума в каналах на выходе системы к одинаковому значению. Это достигается путем измерения мощности шума в каждом канале и подстройки коэффициента передачи, таким образом, чтобы выполнялись данные требования. Можно сказать, что коэффициент передачи адаптируется под текущую шумовую обстановку в каждом канале в отдельности. Таким образом, данную систему можно свести к цифровому регулятору, построенному на основе адаптивного фильтра [1], [2].

На рисунке 1.1 представлена структурная схема адаптивного трансверсального фильтра порядка L.


Рисунок 1.1 Структурная схема адаптивного трансверсального фильтра

На рисунке 1.1 выходной сигнал Yk представляется в виде линейной комбинации дискретных отсчетов входного сигнала Xk и весовых коэффициентов Wlk (1.1):

 , (1.1)

где l - номер весового коэффициента, k = 1,2…L - номер временного отсчета, Z-l - задержка на один такт.

В процессе адаптации осуществляется корректировка коэффициентов Wlk таким образом, чтобы выходной сигнал Yk фильтра имел наилучшее приближение к эталонному сигналу dk. Для этого формируется сигнал ошибки εk = dk - Yk, и вектор весовых коэффициентов оптимизируется так, чтобы обеспечить минимальное среднеквадратическое значение ошибки, то есть СКО = Е[εk] = min.

Текущее значение W вычисляется по методу наименьших квадратов (1.2):

 , (1.2)

где  - параметр, определяющий устойчивость и скорость процесса адаптации.

Для устойчивой работы адаптивного фильтра необходимо выполнение следующего условия (1.3):

 , (1.3)

где М - относительная погрешность адаптации (0<M<1), а E[xk2] - мощность входного сигнала. Время сходимости алгоритма адаптации, то есть время сходимости СКО ошибки к минимальному значению определяется по выражению (1.4):

       , (1.4)

где Т0 - интервал квантования сигналов по времени.

Из приведенных соотношений (1.2), (1.3), (1.4) видно, что чем выше требования к точности фильтра, тем меньше должен быть коэффициент . В свою очередь, уменьшение значения  приводит к увеличению времени сходимости системы. Выбор величины осуществляется путем принятия компромиссного решения.

Таким образом, стоит задача синтеза цифрового автоматического регулятора мощности шума, основной качественной характеристикой которого является его точность. Время сходимости коэффициента передачи к оптимальному значению является менее важным параметром, в виду большого запаса времени, выделенного для подстройки системы. Так же, процесс изменения собственного шума является довольным медленным процессом. Следующий параметр, это сложность алгоритма в реализации, который важен, но ввиду использования современной элементной базы не является главным. Очевидно, что при примерно равной точности, надо сделать выбор в сторону более простого решения, так как это сократит время разработки и упростит отладку системы.

1.3 Разработка алгоритма системы ШАРУ на основe адаптивной фильтрации

За основу взят адаптивный трансверсальный фильтр первого порядка. В итоге получен регулятор, единственный коэффициент которого является коэффициентом передачи разрабатываемой системы адаптирующийся к нужному значению из расчета мощности шума на её входе и выходе. Для этого необходимо преобразовать выражение метода наименьших квадратов (1.2) для данного случая.

Выражение (1.5), описывающее метод наименьших квадратов, для адаптивного фильтра 1-го порядка:

 , (1.5)

при этом выражение (1.1) преобразуется к виду (1.6):

 . (1.6)

В качестве эталонного сигнала dk выбрана характеристика шума - среднеквадратическое отклонение. Таким образом, регулятор должен настраиваться так, чтобы шум на его выходе имел заданное, эталонное значение среднеквадратического отклонения СКОэ. В качестве сигнала ошибки  взято отклонение СКОy на выходе регулятора от эталонного значения СКОэ. В качестве входного воздействия, используется оценка входного воздействия CКОх. Таким образом, (1.5) можно преобразовать к виду (1.7):

 . (1.7)

С учетом (1.3) и того, что  - дисперсия входного сигнала Dх, которая в свою очередь равна СКОх2, выражение (1.7) можно преобразовать к виду (1.8):

 . (1.8)

Таким образом, корректировка коэффициента передачи для шума равна отклонению СКОу шума на выходе от эталонного значения СКОэ, нормированного к значению СКОх шума на входе.

Оценка СКОх шума на входе устройства производится по выражению (1.9):

      , (1.9)

где N-количество усредняемых отсчетов. Аналогично производится оценка СКОу шума на выходе.

Вычисление квадратного корня в цифровой технике с фиксированной точкой - довольно трудоемкая операция. Для упрощения вычислений используют вариант приближенного расчета (замена среднеквадратического значения на среднее арифметическое) (1.10) для (1.9), который имеет погрешность порядка 0,3 - 0,5 дБ:

. (1.10)

Следует заметить, что элементной базой является ПЛИС, позволяющая, как и любое другое цифровое устройство, производить вычисления ограниченной разрядности. Распространенной формой представления чисел в ПЛИС - целые числа ограниченной разрядности. Так как разрабатываемая система не нуждается в широком диапазоне представления чисел, то в данном случае нет необходимости усложнять реализацию вычислениями с плавающей запятой.

Принимая во внимание, что заданный диапазон регулировки составляет от 0,5 до двух раз (что соответствует заданному в исходных данных диапазону ­6 дБ..+6 дБ), необходимо представить коэффициент передачи в виде целых чисел, введя масштабирующий коэффициент Nr. Масштабирование дробного коэффициента передачи W на целочисленную разрядную сетку производится путем умножения W на масштабирующий коэффициент. Для обратного преобразования выходной сигнал регулятора делится на масштабирующий коэффициент. Выбор коэффициента Nr производится исходя из удобства использования (можно выполнить деление на 2n арифметическим сдвигом влево) и необходимой точности.

Для расчета выходного сигнала с учетом особенностей цифровой техники получены выражения (1.11), (1.12):

 ; (1.11)

 , (1.12)

где , .

Из выражения (1.8), видно, что корректировка коэффициента передачи зависит от среднеквадратического отклонения. Для минимизации погрешности, вносимой вычислением СКО, значение которого является параметром, осуществлен переход от его вычисления к вычислению дисперсии шума D (1.13):

 . (1.13)

Значения Dx и Dy вычисляются по формуле (1.14);

 . (1.14)

Так как дисперсия равна квадрату СКО, то нет необходимости расчета квадратного корня, а, следовательно, и внесения приближений, вносящих погрешности в расчет, но при этом вычисления будут производиться над числами большей разрядности.

Также возможен вариант оценки мощности шума на выходе устройства, основанный на использовании квадратурных составляющих сигнала, поступающих с выхода дециматора, расположенного на одной ячейке с системой ШАРУ. В таком случае выражение для расчета дисперсии, использующего СКО выходного сигнала, будет иметь вид (1.15):

 . (1.15)

Аппроксимация выражения (1.15), которая особенно эффективна в аппаратурной реализации [3] выглядит следующим образом (1.16):

 . (1.16)

Для случая, использующего дисперсию выходного сигнала (1.17):

 , (1.17)

где xi и yi - квадратуры шума на выходе регулятора, N - количество усредняемых пар квадратур.

В данной главе проведен анализ исходных данных на дипломный проект и рассмотрены основные принципы адаптивной фильтрации. Показано, что при реализации в цифровом виде, без применения аналогового аттенюатора, на основе принципов адаптивной фильтрации, можно разработать систему ШАРУ, обеспечивающую большую точность подстройки, а также обладающую большей стабильностью. Рассмотрены различные варианты алгоритмов построения системы, учитывающие целочисленный характер вычислений, возможность использования для расчета квадратурных составляющих выходного сигнала и упрощения расчета (выбор масштабирующего множителя, приближения для расчета СКО). Получены выражения, описывающие приведенные алгоритмы.


2. Математическое моделирование и выбор алгоритма

.1 Математическое моделирование

В настоящее время при проектировании радиотехнических систем актуальна проблема анализа их работоспособности при помощи компьютерного моделирования. Компьютерное моделирование - это математическое моделирование с использованием средств вычислительной техники. В рамках дипломного проекта применяется программная среда Matlab [4]. Данная среда имеет обширные возможности для реализации моделей.

Компьютерное моделирование подразделяется на аналитическое и имитационное моделирование. Аналитическое моделирование предполагает использование математической модели реального объекта в форме алгебраических, дифференциальных, интегральных и других уравнений, связывающих выходные переменные с входными, дополненных системой ограничений. При этом предполагается наличие однозначной вычислительной процедуры получения точного решения уравнений.

При имитационном моделировании используемая математическая модель воспроизводит алгоритм («логику») функционирования исследуемой системы во времени при различных сочетаниях значений параметров системы и внешней среды.

При моделировании цифровой системы ШАРУ применено имитационное моделирование, то есть воспроизводится алгоритм функционирования устройства.

Необходимо провести моделирование работы всех выше изложенных алгоритмов и сравнить точность настройки коэффициента передачи во всех случаях. В качестве эталона будет выбрана реализация алгоритма в рамках арифметики с плавающей запятой, то есть с точностью расчета более высокой, чем в случае с целочисленной арифметикой.

Организация модели будет осуществлена следующим образом. Для каждого алгоритма создана функция, принимающая матрицу-строку, содержащую входное воздействие и параметры системы (разрядность масштабирующего коэффициента, количество усредняемых отсчётов, коэффициент скорости сходимости) и возвращающую подстроенный коэффициент передачи. В основной программе будет проводиться масштабирование исходного шума в заданных пределах, то есть от -6 дБ до +6 дБ. Каждая полученная выборка шума пропускается через каждую функцию, реализующую исследуемые алгоритмы. Полученный в результате моделирования набор коэффициентов передачи переведен из масштабных единиц в децибелы. В качестве тестовой выборки шума были использованы цифровые отсчеты реализации шума на выходе АЦП, полученные путем подключения технологических (регистрационных) устройств в соответствующее сечение приемного тракта РЛС. Так же необходимо заметить, что для достижения достоверных результатов все модели должны «сойтись», то есть должно быть получено близкое к конечному значение коэффициента передачи. Для этого необходимо взять выборку шума такой длительности, чтобы она превосходила время сходимости в самом критичном случае.

На рисунке 2.1 изображена реализация входного шума, на основе которого проводилось моделирование.

Рисунок 2.1 Входной шум

Для пояснения, на рисунке 2.2 изображены 100 отсчетов входного шума.

Рисунок 2.1 100 отсчетов шума

Ниже рассмотрены различия в результатах расчетов для всех промоделированных алгоритмов. Программный код математической модели, приведен в приложении А.

Критерием оценки, являет рассчитанное значение коэффициента передачи W при разных уровнях шума на входе устройства. Начальное состояние коэффициента передачи (0 дБ, среднее положение), выборка шума, время усреднения для расчета (N = 32) и коэффициент сходимости (M = 0,01) одинаковы для всех моделей.

На рисунках 2.3 и 2.4 изображены результаты моделирования для семи алгоритмов, где W_ско_теор - теоретический расчет, с оценкой СКО шума, без квадратур; W_ско_кв_теор - теоретический расчет, с оценкой СКО шума, с разбиением на квадратуры; W_дисп_теор - теоретический расчет, с оценкой D шума, без квадратур; W_дисп- целочисленный расчет, с оценкой D шума, без квадратур; W_кв_дисп - целочисленный расчет, с оценкой D шума, с разбиением на квадратуры; W_ско - целочисленный приближенный расчет, с оценкой СКО шума, без квадратур; W_кв_ско - целочисленный приближенный расчет, с оценкой СКО шума, с разбиением на квадратуры.

Рисунок 2.3 Зависимость коэффициента передачи (дБ) от изменения шума на входе (разы)

На рисунке 2.3 изображены графики, отражающие изменение коэффициента передачи в зависимости от изменения входного шума. На оси абсцисс показано изменение шума в разах, на оси ординат - значение коэффициента передачи в децибелах.

Для облегчения анализа полученных результатов удобно рассмотреть отклонения рассчитанных коэффициентов, от какого либо одного теоретического алгоритма, например W_ско_теор.

Рисунок 2.4. Отклонение коэффициента передачи от W_cко_теор, дБ

Графики W_cко_теор и W_cко_кв_теор совпадают, что подтверждает то, что СКОу полученное в результате точного расчета по формулам (1.10) и (1.14) идентично. Простые в реализации алгоритмы W_ско и W_кв_cко дают погрешность в среднем 0,1 дБ и 0,3 дБ соответственно. Алгоритм W_дисп_теор дает отклонение от W_cко_теор в области пониженного шума не превышающее 0,05 дБ в заданной области регулирования. Его целочисленные реализации W_дисп и W_кв_дисп дают одинаковый результат с отклонением не более 0,05 дБ в заданной области. Реализуемые алгоритмы W_ско и W_кв_cко, хоть и просты, имеют большие погрешности по сравнению с алгоритмами использующими для расчета корректировки дисперсию W_дисп и W_кв_дисп. Как сказано ранее, главным показателем является точность регулирования, поэтому надо отдать предпочтение наиболее простому алгоритму, использующему для расчета дисперсию W_дисп.

2.2 Выбор алгоритма

Использование для расчета мощности шума СКО даёт более высокую погрешность, чем использование дисперсии. Использование квадратурных составляющих не влечет за собой ощутимое повышение точности для алгоритма, использующего для расчета дисперсию. В рамках заданного в техническом задании диапазона регулирования алгоритм использующий дисперсию показывает высокую точность.

Исходя из выше сказанного, для реализации был выбран алгоритм, использующий для расчета дисперсию шума на входе и выходе устройства, хотя он и является более сложным.

В соответствии с выражениями (1.13), (1.14) проведен синтез алгоритма цифрового регулятора мощности шума и разработана его структурная схема, приведенная на рисунке 2.5.


Рисунок 2.5 Структурная схема алгоритма

В соответствии со структурной схемой разработана математическая модель демонстрирующая работу автоматического цифрового регулятора мощности шума. Программный код математической модели представлен в приложении Б.

Проведено математическое моделирование работы регулятора при количестве усредняемых отсчетов N = 32, скорости сходимости М = 0,01 для трех случаев, когда СКО входного шума близко к эталонному значению (S), СКО входного шума в два раза больше эталонного (S*2), а также в два раза меньше (S/2). Результаты моделирования приведены на рисунках 2.6 и 2.7.

Рисунок 2.6 СКО шума на входе регулятора


Рисунок 2.7 СКО шума на выходе регулятора

На рисунке 2.6 показаны вычисленные значения СКО входного шума для трех случаев. На рисунке 2.7 для S, S*2, S/2 соответственно приведены результаты вычислений СКО выходного шума. Как видно, коэффициент передачи регулятора подстраивается таким образом, что СКО шума на его выходе для трех рассмотренных случаев стремится к эталонному значению.

В качестве примера работы автоматического регулятора на рисунке 2.4 приведена эпюра шума на его выходе во время подстройки для случая при S*2. Закон распределения шума близок к нормальному закону распределения с нулевым средним. На рисунке 2.8 с нулевого по 1999 отсчет регулятор отключен, а с 2000 по 8000 отсчет проводится автоматическая регулировка мощности шума.

Рисунок 2.8 Вид выходного сигнала автоматического регулятора для входного воздействия S*2

В данной главе было проведено математическое моделирование алгоритмов, рассмотренных в первой главе. Были показаны их достоинства и недостатки. Сделан вывод, что алгоритмы, использующие для расчета дисперсию входного и выходного шума, превосходят по точности алгоритмы, использующие для расчета среднеквадратическое отклонение входного и выходного шума. Так же было установлено, что, в случае алгоритма, использующего дисперсию, использование для расчета квадратурных составляющих выходного сигнала, не влечет за собой повышения точности.

В результате проведенного математического моделирования для реализации системы шумовой автоматической регулировки усиления был выбран, алгоритм, использующий для подстройки коэффициента передачи регулятора дисперсии входного и выходного сигналов, разработана его структурная схема. Было проведено математическое моделирование алгоритма, демонстрирующее корректность его функционирования.

3. Синтез системы ШАРУ

.1 Особенности проектирования

Синтез системы автоматического регулирования мощности шума будет производиться в САПР Quartus II 9.0. Данная программная среда имеет достаточно большой набор модулей, упрощающих разработку и анализ синтезированных устройств. САПР поддерживает разработку модулей в графическом редакторе наряду с описанием модулей на языках описания аппаратуры, например, Verilog Hardware Description Language (Verilog HDL). Данная среда имеет встроенные средства моделирования и средства оценки временных задержек при синтезе схемы под определенный кристалл ПЛИС. Описанные средства будут использованы при синтезе разрабатываемого устройства.

Ввиду особенностей разработки и простоты последующей поддержки проектов удобно реализовать верхний уровень проекта ПЛИС в виде графической схемы, объединяющей модули, использующие встроенные функции либо модули, разработанные на языке описания аппаратуры.

Таким образом, результатом данного раздела будет являться разработанный модуль системы ШАРУ, реализованный в виде кода на языке Verilog HDL.

3.2 Синтез блока устройства ШАРУ

В соответствии со структурной схемой алгоритма (рисунок 2.5) была разработана функциональная схема модуля, приведенная в приложении В.

Из приведенной функциональной схемы видно, что при работе, во время отсутствия сигнала разрешения enable, на вход IN поступают дискретные отсчеты шума, умножаются на масштабированный коэффициент передачи (TRANS_COEF) и делятся на масштабирующий коэффициент N. В результате на выход с некоторой задержкой поступают отрегулированные отсчеты шума. Данная задержка обусловлена в основном длительностью операции умножения. Деление в данном случае не вносит задержку, так как оно осуществляется сдвигом на n разрядов. Это допустимо в связи с выбором масштабирующего коэффициента N = 2n. Все остальные элементы схемы в данном случае не функционируют.

При установке сигнала разрешения в активный уровень, схема начинает функционировать полностью. Одновременно для входа и выхода системы проводится расчет средней мощности шума (дисперсии) DISP_IN и DISP_OUT. Он производится путем накопления K квадратов отсчетов с последующей нормировкой на количество накопленных отсчетов K. Далее вычисляется сигнал ошибки DISP_ERROR путем нахождения отклонения дисперсии на выходе DISP_OUT от эталонного значения DIPS_ET. Производится масштабирование полученного коэффициента путем его умножения на масштабированный коэффициент скорости сходимости алгоритма наименьших квадратов SPEED_COEF. Далее производится нормировка полученного значения относительно значения мощности шума на входе DISP_IN. В результате данных операций, получена масштабированная корректировка коэффициента передачи TRANS_COEF_CORR. Данная поправка вносится в действующий коэффициент передачи TRANS_COEF, что в результате определяет его новое значение.

При длительном повторении данных процедур производится регулировка коэффициента TRANS_COEF таким образом, чтобы дисперсия выходного шума DISP_OUT стала близка к эталонному значению DISP_IN.

При переводе сигнала enable в пассивный уровень, вся схема, кроме умножителя 1 и схемы деления на N, «замрет» и далее входной сигнал будет регулироваться последним рассчитанным коэффициентом передачи, остающимся неизменным до следующего разрешения регулировки.

Необходимо определить внешний вид модуля, то есть его входы и выходы. Входами модуля являются:

­      тактовый сигнал CLK;

­      12 разрядный вход данных IN[11..0];

­      сигнал enable, в рамках которого будет производиться оценка мощности шума и корректировка значения коэффициента передачи.

Выходными сигналами являются:

­      12 разрядный выход данных OUT[11..0];

­      коэффициент передачи TRANS_COEF[15..0].

Удобно параметризировать ширину шин данных (WIDTH) и коэффициента передачи(n). Изменяемые коэффициенты (количество усредняемых отсчетов 2k и эталонная дисперсия DISP_ET) также удобно задать в виде внешних (изменяемых при создании копии модуля) параметров. Внешний вид графического модуля представлен на рисунке 3.1.

Рисунок 3.1 Внешний вид графического модуля, реализующего работу ШАРУ

Параметризация модуля позволит упростить процесс встраивания модуля в то или иное изделие, посредством изменения разрядности используемых данных. Возможность простого изменения эталонного уровня дисперсии позволит оперативно адаптировать систему к переносу для работы в другом приемном тракте или с другим аналогово-цифровым преобразователем, где уровень шума может отличаться.

Описание входных и выходных регистров и параметров внутри модуля выглядит следующим образом:

module block_shary #(parameter= 12,     //входное слово 11 + знак в прямом коде

n =          15,     //значение единичного коэффициента передачи

// т.е. для W = 1 - Wq = 2^n

k =          5,       // кол-во усреднений 2^k

DISP_ET = 4900)      // CКО=70, DISP=70^2

(    input  clk, enable, [WIDTH-1:0] IN,reg [WIDTH-1:0] OUT,reg [n:0] TRANS_COEF );//transmission coefficient

В описании параметров указываются значения «по умолчанию», при создании копии модуля они будут выставлены в качестве параметрах, но их можно изменить при необходимости.

Следующая группа необходимых параметров - локальные параметры. Они задаются и используются только в пределах модуля. Как видно, они могут зависеть от внешних параметров.

localparam        N =                      1 << n,        //2^n

K =                            1 << k,        //2^k_COEF =      2*N/100,     //2*M*N, M=0.01_COEF_min =             1 << (n-1),_COEF_CORR_max =    4096;

Коэффициент скорости сходимости SPEED_COEF - масштабированный коэффициент скорости сходимости для M = 0,01. TRANS_COEF_min - минимально возможное значение принимаемое коэффициентом передачи, соответствующее минимальному ослаблению в два раза. Максимально возможное значение принимаемое коэффициентом передачи получается при использовании регистра TRANS_COEF, имеющего разрядность на единицу больше, чем n, что соответствует максимальному усилению в два раза, предотвращения расчетных ошибок также ограничен регистр содержащий корректировку коэффициента передачи на каждом цикле работы регулятора TRANS_COEF_CORR_max.

Параметр n, как сказано выше, определяет точность системы. Минимальное значение масштабированного коэффициента передачи Wqk = 1, следовательно, минимальное значение итогового коэффициента передачи будет равно 1/Nr, Nr = 2n. В данном случае параметр n =15, то есть минимальное значение коэффициента передачи (и шага подстройки) будет равно 0,00003 (0,00026 дБ), что превосходит заданный в исходных данных шаг подстройки, равный 0,09 (0,75 дБ). В начале работы коэффициент передачи устанавливается следующим образом:

initial       TRANS_COEF = N,

что соответствует коэффициенту передачи W равному единице.

Полный код модуля на языке Verilog, описывающий работу согласно функциональной схеме, приведен в приложении Г. В данной главе приведены особенности разработки цифровых систем на ПЛИС с использованием программного пакета Quartus II и языка описания аппаратуры Verilog HDL. На основе структурной схемы разработана функциональная схема системы шумовой автоматической регулировки усиления. В соответствии с алгоритмом и функциональной схемой синтезирован модуль системы ШАРУ, листинг которого приведен на языке Verilog HDL. Разработана интерфейсная часть модуля, для его последующего встраивания в файл верхнего уровня. Описаны изменяемые и локальные параметры разработанного модуля. Установлено, что шаг подстройки коэффициента передачи существенно меньше заданного в исходных данных.

4. Выбор элементной базы и описание принципиальной электрической схемы устройства

.1 Выбор элементной базы

.1.1 Выбор ПЛИС

Выбор элементной базы, на которой синтезируется алгоритм работы, обусловлен следующими факторами:

­      переход от одного семейства ПЛИС к другому не представляет большего труда;

­      так как синтез устройства проводился в САПР Quartus II 9.0 целесообразно выбрать один из кристаллов, поддерживаемым этим программным пакетом;

­      в связи с ограничением элементов, разрешенных к использованию, необходимо выбрать ПЛИС, соответствующую данному требованию.

В процессе синтеза и предварительной компиляции проекта системы ШАРУ было установлено, что логическая емкость ПЛИС EPF10K100ARI240-3 фирмы «Altera» достаточна для реализации данного проекта. Наименованиие модели ПЛИС расшифровывается следующим образом: EPF10K100A - обозначает семейство ПЛИС и его логическую емкость, R - тип корпуса, I - индустриальный тип исполнения (диапазон температур от -40 до +100 °С), 240 - количество контактов, 3 - градация скорости[5]. Также она является одной из немногих ПЛИС, доступных вследствие ограниченной разрешенной к применению элементной базы, является

4.1.2 Выбор микросхемы конфигурационного ПЗУ

В качестве конфигурационного ПЗУ выбрана микросхема EPC2LI20 фирмы Altera. В спецификации на ПЛИС EPF10K100ARI240-3 рекомендованы следующие типы ПЗУ: EPC1, EPC2, EPC1441. Микросхемы EPC1 и EPC1441 требуют дорогостоящего оборудования для программирования микросхем. Более того, эти микросхемы не позволяют многократно записывать в них данные, что существенно увеличит расходы и трудоемкость на проектирование устройства в процессе отладки. Микросхема EPC2LI20 является микросхемой многократного программирования. Стоит отметить также, что эта микросхема не требует специального устройства для программирования - для ее программирования используется тот же программатор, что и для записи данных в ПЛИС по порту JTAG, что позволяет использовать один и тот же программатор для обоих устройств [6].

4.2 Описание принципиальной электрической схемы устройства

Разработана принципиальная электрическая схема системы, приведенная в приложении Д на 4 листах. На схеме приняты следующие обозначения: D1 - ПЛИС EPF10K100ARI240-3, D2 - конфигурационное ПЗУ, C1…C22 - конденсаторы, разъемы X1…X3 и резисторы R1…R10. Конденсаторы C3…C22 номиналом 0,1 мкФ каждый должны располагаться непосредственно рядом с ПЛИС, а электролитические конденсаторы С1, С2 номиналом 0,47 мкФ каждый - как можно ближе к разъему Х1,так как они являются фильтрующими. Разъем X1 - трехрядный, содержащий в каждом ряду A, B, C по 45 контактов, предназначенный для подачи на ПЛИС питающего напряжения - VCC (на устройство подается питание +3,3 В), а так же для осуществления коммутации с внешними устройствами. С помощью него на ПЛИС подаются тактовый сигнал, или синхросигнал, и входные сигналы, а также на его контакты под действием управляющих сигналов поступают выходные сигналы. Разъемы X2 и X3 представляют десяти контактные джемперные линейки. Разъем X2 предназначен для программирования ПЛИС по цепи JTAG, а разъем X3 - для программирования ПЗУ. Процесс конфигурирования ПЗУ или ПЛИС должен происходить следующим образом: выбирается соответствующий конфигурационный файл и последовательно программируется каждая ПЛИС. Незадействованные контакты должны быть припаяны к печатной плате, на электрической схеме они не указаны. С целью обеспечения минимального времени распространения синхросигнала, а также более надежного конфигурирования ПЛИС цепи сигналов TCI, TMS, TCK, TDO и тактового сигнала должны быть проложены кратчайшим способом. После принципиальной схемы в приложении Е приведен перечень элементов.

В данной главе были описаны ограничения, накладываемые на выбор микросхемы ПЛИС и конфигурационного ПЗУ для неё. В соответствии с этими ограничениями, для реализации системы ШАРУ была выбрана ПЛИС EPF10K100ARI240-3 и конфигурационное ПЗУ EPC2LI20 фирмы Altera. Разработана принципиальная электрическая схема системы и перечень элементов, приведено краткое описание. Приведены методики конфигурирования ПЛИС.


5. Анализ быстродействия и функционирования системы ШАРУ

.1 Анализ быстродействия и занятых аппаратурных ресурсов

Для оптимизации процесса работы любого разрабатываемого устройства проводится анализ его быстродействия и задействованных аппаратурных ресурсов. Оптимальность процесса работы устройства оценивается следующими параметрами: быстродействием и максимальной тактовой частотой работы. При компиляции проекта была выбрана ПЛИС производства фирмы Altera семейства FLEX10KA, а именно EPF10K100ARI240-3. В свою очередь, быстродействие, так же как и максимальная тактовая частота работы, определяется количеством занятых аппаратурных ресурсов ПЛИС и числом задействованных контактов. В результате компиляции в Quartus II 9.0, был составлен файл отчета о скомпилированном проекте. В таблице 6.1 приведены некоторые данные, взятые из этого файла. Для измерения быстродействия и максимальной тактовой частоты в Quartus II 9.0 применяется встроенная функция Classic Timing Analyzer. Результаты данного анализа для разработанной цифровой системы ШАРУ представлены в таблице 5.1.

Таблица 5.1 - Результаты анализа

Логические элементы

Количество

3285


% от общего количества

33

Элементы памяти

Количество

273


% от общего количества

1

Максимальная задержка распространения, нс

15,8

Максимальная тактовая частота, МГц

58,7




5.2 Временное моделирование

Временное моделирование проводилось при помощи встроенной функции программного пакета Quartus II - Simulator Tool. На рисунках 5.1 и 5.2 представлены результаты данного моделирования.

На эпюрах представлены следующие сигналы:

­      clk - тактирующий сигнал;

­      en - enable, сигнал разрешения корректировки коэффициента передачи.

­      IN, OUT - 11 разрядные модули входного и выходного сигналов.

­      IN[11], OUT[11] - знаки входного и выходного сигналов.

В качестве эталонного значения дисперсии выбрано значение DISP_ET = 4900.


Рисунок 5.1 Результат моделирования до корректировки коэффициента передачи

Рисунок 5.2 Результат моделирования после корректировки коэффициента передачи

На рисунке 5.1 система находится в начальном состоянии (её коэффициент передачи W = 1). На выход поступают входные отсчеты без изменений. Задержка обусловлена временем, затрачиваемым на умножение входных данных на текущий коэффициент передачи. Далее, по установке сигнала enable в активный уровень значение коэффициента передачи начинает подстраиваться таким образом, чтобы на выходе системы был шум, мощность которого близка к эталонному значению. В результате некоторого числа корректировок коэффициент передачи принимает значение необходимое для обеспечения необходимой мощности шума на выходе. На рисунке 5.2 видно, что значения выходных отсчётов уменьшено до необходимого уровня.

В данной главе проанализирован программный модуль системы шумовой автоматической регулировки усиления синтезированный в главе 3. Описаны критерии оценки оптимальности. Составлена таблица, содержащая

количество аппаратных ресурсов задействованных при компиляции проекта ПЛИС, а так же оценка быстродействия. Анализ показал, что максимальная тактовая частота, при которой гарантируется точное функционирование модуля составляет 58,7 МГц, что превосходит заданное в ИД значение более, чем в два раза. Проведено временно моделирование с использование встроенных средств программного пакета Quartus II. Показано, что разработанный модуль функционирует согласно принятому алгоритму.


6. Описание конструкции системы

.1 Выбор конструкции системы

Основой для выбора конструкции устройства является приемлемая технология её изготовления, которая определяется количеством изделий, входящих в её состав. В рамках дипломного проекта приемлемым процессом изготовления конструкции является резание. Процесс имеет преимущества, так как выполняется на стандартном оборудовании и не требует подготовки, а, следовательно, он принят за основу при проектировании конструкции.

В настоящее время создание современной радиоэлектронной аппаратуры основывается на применении микросхем высокой степени интеграции в качестве её основных компонентов. Возрастающая сложность и функциональность аппаратуры, а также продолжающаяся ее микроминиатюризация приводят к увеличению числа коммутационных соединений. Применение многослойного печатного монтажа позволяет практически решать данные задачи.

Многослойная печатная плата (МПП) состоит из ряда склеенных печатных слоев, в которых находятся сигнальные проводники, переходные отверстия, экраны, шины питания, контактные площадки или выступы для присоединения выводов элементов.

Одна многослойная печатная плата может объединить большое число сложных компонентов радиоэлектронной системы, обеспечивая значительную экономию места и веса, и в то же время эффективно уменьшая количество внешних выводов по сравнению с тем, что потребовалось бы в случае применения традиционных принципов монтажа.

Сохраняя все достоинства печатного монтажа, МПП имеют дополнительные преимущества:

­      более высокая удельная плотность печатных проводников и контактных площадок (20 и более слоев);

­      уменьшение длины проводников, что обеспечивает значительное повышение быстродействия (например, скорость обработки данных в ЭВМ);

­      возможность экранирования цепей переменного тока;

­      более высокая стабильность параметров печатных проводников под воздействием внешних условий.

Недостатки МПП:

­      более жесткие допуски на размеры по сравнению с ОПП и ДПП;

­      большая трудоемкость проектирования и изготовления;

­      применение специального технологического оборудования;

­      жесткий контроль всех операций;

­      высокая стоимость и низкая ремонтопригодность.

Печатная плата устройства чувствительна к изменению геометрии корпуса, поэтому его конструкция должна быть независимой от внешних воздействий. В многослойных печатных платах формируется практически полностью экранированная линия передачи. Обеспечивается максимальная локализация электромагнитного поля, а, следовательно, и максимальная точность расчетов электрических параметров через геометрию сечения, что в свою очередь сильно увеличивает помехозащищенность МПП. Также наличие большого числа слоев позволяет реализовать практически любую топологию.

Цифровое устройство ШАРУ должно быть выполнено на типовой конструкции с одной печатной платой размером платы 170´200. При этом плата должна быть многослойной (МПП). Для электрического соединения с блоком должен использоваться разъем СНП260-135РП32 и два разъема РПН23-3Г-В.

МПП состоит из четырех слоев:

­      слои 1 и 4 - сигнальные;

­      слой 2 - общий;

­      слой 3 - питание +3,3 В.

Питание ячейки осуществляется от внешних источников напряжением +3,3 В.

Аналоговые и цифровые земли должны быть разделены в первом слое и соединены в одной точке. Проводники цифровых сигналов не должны проходить над аналоговой землей. Должны быть предусмотрены меры по обеспечению электромагнитной совместимости за счет введения экранирующих слоев.

Высокочастотные сигналы (входной сигнал и опорное напряжение) должны подводиться на вход соответствующих микросхем кабелями, экраны которых должны соединяться с ближайшими контактами земли (аналоговой) микросхем.

Фильтрующие конденсаторы С3..С22 должны быть размещены в непосредственной близости от контактов микросхемы D1 так, как это показано на электрической схеме. Число конденсаторов данного типа не может быть меньше указанного.

Устройство ШАРУ по стойкости, прочности и устойчивости к воздействию климатических и механических факторов должна соответствовать ГОСТ Р 20.39.304-98 (группа 1.3) со следующими уточнениями:

­      пониженная температура среды - минус 50ºС;

­      повышенная температура среды - плюс 65ºС;

­      относительная влажность - 98 % при температуре плюс 35ºС;

­      синусоидальная вибрация: амплитуда ускорения - 2g, диапазон частот - от 5 до 200 Гц;

­      механический удар многократного действия: пиковое ускорение - 10g, длительность действия ударного ускорения - от 5 до 10 мс.

Ячейка должна быть работоспособна на высотах до 2000 м.

По стойкости к воздействию поражающих факторов ядерного взрыва ячейка соответствует ГОСТ 20.39.305-76 (группа 1.7 ст.ж. II и).

Исходя из требований технического задания, предъявляемых к разрабатываемому устройству, выбрана типовая конструкция.

Разработанное устройство входит в состав блока аналого-цифрового приемника. Блок содержит два канала обработки данных. В состав каждого канала входит свое отдельное устройство ШАРУ.

Конструкторская документация должна соответствовать общим правилам технологичности по ГОСТ 14.201-83.

Таким образом, проведен выбор конструкции устройства. Приведено обоснование применения типовой конструкции с одной МПП установленных размеров. МПП состоит из четырех слоев. Описаны условия стойкости, прочности и устойчивости устройства к воздействию климатических и механических факторов. В приложениях Ж и З приведена топология печатной платы ячейки.


7. Расчет надежности устройства

.1 Требования по надежности

Требования по надежности, предъявляемые к системе ШАРУ:

­      средняя наработка на отказ по одному каналу, не менее 100000 ч.;

­      средний ресурс до капитального ремонта - 25000 ч.;

­      средний срок службы до капитального ремонта - 12 лет;

­      стабильная непрерывная работа - 72 ч.

7.2 Основные понятие и выражения

Надежность - это свойство объекта выполнять заданные функции, сохраняя во времени и в заданных пределах значения установленных эксплуатационных показателей.

Объект - это техническое изделие определенного целевого назначения, рассматриваемое в периоды проектирования, производства, испытаний и эксплуатации.

Объектами могут быть различные системы и их элементы.

Элемент - это простейшая составная часть изделия, в задачах надежности может состоять из многих деталей.

Система - это совокупность совместно действующих элементов, предназначенная для самостоятельного выполнения заданных функций.

Понятия элемента и системы трансформируются в зависимости от поставленной задачи. Например, станок, при установлении его собственной надежности рассматривается как система, состоящая из отдельных элементов - механизмов, деталей и т.п., а при изучении надежности технологической линии - как элемент.

Надежность объекта характеризуется следующими основными состояниями и событиями:

Исправность - это состояние объекта, при котором он соответствует всем требованиям, установленным нормативно-технической документацией (НТД).

Работоспособность - это состояние объекта, при котором он способен выполнять заданные функции, сохраняя значения основных параметров, установленных НТД.

Основные параметры характеризуют функционирование объекта при выполнении поставленных задач.

Понятие исправность шире, чем понятие работоспособность. Работоспособный объект обязан удовлетворять лишь тем требования НТД, выполнение которых обеспечивает нормальное применение объекта по назначению. Таким образом, если объект неработоспособен, то это свидетельствует о его неисправности. С другой стороны, если объект неисправен, то это не означает, что он неработоспособен.

Предельное состояние - это состояние объекта, при котором его применение по назначению недопустимо или нецелесообразно.

Применение (использование) объекта по назначению прекращается в следующих случаях:

­      при неустранимом нарушении безопасности;

­      при неустранимом отклонении величин заданных параметров;

­      при недопустимом увеличении эксплуатационных расходов.

Для некоторых объектов предельное состояние является последним в его функционировании, т.е. объект снимается с эксплуатации, для других - определенной фазой в эксплуатационном графике, требующей проведения ремонтно-восстановительных работ.

В связи с этим, объекты могут быть:

­      невосстанавливаемые (в случае возникновения отказа не подлежит восстановлению);

­      восстанавливаемые (работоспособность может быть восстановлена, в том числе и путем замены).

К числу невосстанавливаемых объектов можно отнести, например: подшипники качения, полупроводниковые изделия, зубчатые колеса и т.п. Объекты, состоящие из многих элементов, например, станок, автомобиль, электронная аппаратура, являются восстанавливаемыми, поскольку их отказы связаны с повреждениями одного или немногих элементов, которые могут быть заменены.

В ряде случаев один и тот же объект в зависимости от особенностей, этапов эксплуатации или назначения может считаться восстанавливаемым или невосстанавливаемым.

Отказ - это событие, заключающееся в нарушении работоспособного состояния объекта.

Критерий отказа - это отличительный признак или совокупность признаков, согласно которым устанавливается факт возникновения отказа.

Для расчета надежности всего устройства провен расчет интенсивности отказов для каждого отдельного элемента, входящего в состав ячейки.

Для интегральных микросхем воспользуемся выражением:

λЭ = λб× КЭ × КПР × ККОРП × КСТ × КV;

для конденсаторов:

λЭ = λб× КЭ × КПР × КР × КС;

для резисторов:

λЭ = λб× КЭ × КПР × КР × КR × КМ × КСТАБ;

для розеток:

λЭ = λб× КЭ × КПР × КР × KKK × ККС;

для соединений:

λЭ = λб× КЭ;

для печатной платы:

λЭ = λб× КЭ;

для вилок:

λЭ = λб× КЭ × КПР × КР × KKK × ККС.

Условные обозначения, принятые в формулах:

λб - исходная (базовая) интенсивность отказов типа (группы) ЭРИ для усредненных режимов применения в аппаратуре (электрическая нагрузка, равная 0,4 от номинальной; температура окружающей среды tокр = 30ºС);

КЭ - коэффициент эксплуатации;

КПР - коэффициент приемки, учитывающий степень жесткости требований к контролю качества и правила приемки изделий;

КРТ) - коэффициент режима, учитывающий изменение λб в зависимости от электрической нагрузки и (или) температуры окружающей среды;

ККОРП - коэффициент, учитывающий тип корпуса резисторных микросхем;

КФ - коэффициент, учитывающий функциональное назначение прибора;

КСТ - коэффициент, учитывающий сложность ИС и температуры окружающей среды;

КС - коэффициент, учитывающий величину емкости конденсатора;

КV - коэффициент, учитывающий величину напряжения питания для интегральных микросхем;

KR - коэффициент, учитывающий величину омического сопротивления резисторов;

KKK - коэффициент, учитывающий количество задействованных контактов соединителей и коммутационных изделий;

ККС - коэффициент, учитывающий количество сочленений-расчленений в течение всего времени эксплуатации соединителей;

КСТАБ - коэффициент, учитывающий точность изготовления (допуск) резистора;

КМ - коэффициент, учитывающий величину номинальной мощности резистора;

7.3 Расчет надежности системы ШАРУ

Расчет времени наработки на отказ для ячейки выполнен в виде таблицы и приведен в приложении Ж.

Средняя наработка на отказ устройства определяется по выражению (7.1):

 , (7.1)

где λЭ = 8,0965235×10-6 1/ч - суммарная интенсивность отказов всех элементов:

 ч.

Для разработанного устройства ШАРУ средняя наработка на отказ составила Тср=123509,8 ч., что полностью удовлетворяет требованиям технического задания.

В данной главе проведен расчет надежности системы ШАРУ. Изложены основные требования по надежности, предъявляемые к разрабатываемому устройству. Рассмотрены основные понятия, связанные с надежностью, такие как надежность, исправность, работоспособность, отказ и критерий отказа. Отдельно приведены основные формулы для расчета наработок на отказ и пояснены условные обозначения, используемые в выражениях. Расчет надежности системы оформлен в виде таблицы и представлен в приложении И. По результатам проведенного расчета сделан вывод о том, что разрабатываемая система полностью удовлетворяет требованиям ТЗ по надежности.


8. Организационно-экономическая часть

.1 Введение

В нынешней экономической ситуации у предприятий появляются большие возможности для выбора типа деятельности, дальнейшего совершенствования, развития выхода на мировой рынок и т.п. Но для того, чтобы в условиях свободного рынка продукция предприятия пользовалась повышенным спросом, она должна содержать в себе множество различных свойств и удовлетворять большому числу требований как внутри страны (стандарт ГОСТ Р ИСО 9001-96), так и международным стандартом (ISO - 9000). На сегодняшний день только такие предприятия являются благополучными.

При создании нового прибора или устройства исследователи и конструкторы всегда должны учитывать не только техническую, но и экономическую сторону проводимой разработки. Экономический анализ дает возможность выбрать наиболее эффективный вариант новой техники, способствует внесению в создаваемые конструкции таких улучшений, которые позволили бы получить нужные результаты при наименьших материальных, трудовых и денежных затратах. Оптимальным вариантом достижения таких решений является прогрессивная форма планирования - бизнес-план [7].

Бизнес-план - это краткое, точное и ясное описание целей нового или действующего бизнеса, а также средств и способов их достижения.

Бизнес-план позволяет сделать оценку текущего состояния экономики, сильных и слабых сторон производства, показать достоинства и выгоду предполагаемого проекта и привлечь инвестора, который вложит свои средства в тот проект, который с достаточной вероятностью гарантирует ему получение максимальной прибыли [8].

Данный бизнес-план посвящен разработке цифрового устройства шумовой автоматической регулировки усиления для аналогово-цифровых приёмников РЛС.

8.2 Предприятие и отрасль, в котором оно занято

Предприятие является основоположником отечественных радиолокационных станций обнаружения, наведения и целеуказания, специализируется на радиотехнике, телемеханике, специальной радиосвязи и автоматике.

8.3 Описание организации работ

Данная работа относится к классу опытно-конструкторских работ (ОКР) с элементами научно-исследовательской работы (НИР), поскольку помимо основной части работ - разработки конструкторской документации, рассматривается так же и разработка технического проекта .

8.4 Описание продукта

Радиолокация - область радиотехники, обеспечивающая радиолокационное наблюдение различных объектов, то есть их обнаружение, измерение координат и параметров движения, а также выявление некоторых структурных или физических свойств путем использования отраженных или переизлучённых объектами радиоволн либо их собственного радиоизлучения [9]. Источником радиолокационной информации является радиолокационный сигнал. Именно его распознавание на фоне шумов и даёт возможность установить некоторые характерные признаки цели. Именно цифровая система шумовой автоматической регулировки усиления, разработка которого и будет производиться, поможет нам в получении необходимой информации. Система ШАРУ предназначена для оценки в стробе ШАРУ мощности огибающей шума на выходе каждого из двух каналов аналого-цифрового приёмника на каждой из десяти рабочих частот и последующей компенсации мощности огибающей шума до эталонного значения. Таким образом, на выходе устройства получается одинаковое по мощности распределение шумов в каналах. Необходимость разработки вызвана тем, что аналог имел устаревшую элементную базу, а так же то, что увеличение вычислительных мощностей позволили доработать и оптимизировать алгоритм. В качестве современной элементной базы в данном устройстве используются ПЛИС. Основными преимуществами ПЛИС при применении в средствах обработки сигналов являются:

§  высокое быстродействие;

§  возможность реализации сложных параллельных алгоритмов;

§  наличие средств САПР, позволяющих провести полное моделирование системы;

§  возможность программирования или изменения конфигурации непосредственно в системе;

§  совместимость при переводе алгоритмов на уровне языков описания аппаратуры (VHDL, AHDL, Verilog и др.);

§  совместимость по уровням и возможность реализации стандартного интерфейса;

§  наличие библиотек мегафункций, описывающих сложные алгоритмы;

§  архитектурные особенности ПЛИС как нельзя лучше приспособлены для реализации таких операций, как умножение, свертка и т.п.

Использование современной элементной базы повышает надёжность, ремонтопригодность, уменьшая габариты устройства и затраты на его производство.

8.5 Оценка рынка и конкурентоспособности

Рынком сбыта разработанного устройства будут являться предприятия, занимающиеся выпуском радиолокационных станций и гражданской авиации. Следует отметить, что все комплектующие, используемые в системе, входят в разрешенный перечень элементов, вследствие чего система может применяться в любой РЛС военного назначения, а так же поставляться на экспорт.

Основными преимуществами разработанной системы являются высокие технические характеристики (высокая точность, легкая встраиваемость и масштабируемость), малые габаритные размеры, высокая надежность, а так же применение новой элементной базы и легкость изготовления.

8.6 Маркетинг

Дальнейшее увеличение конкурентоспособности возможно за счет проведения маркетинговых мероприятий, то есть проведение рекламной деятельности по сбыту, участие в специализированных выставках, издание рекламной литературы для специалистов, установление контактов с потребителями. Имеется возможность снижения цены, путем сокращения определенных статей расходов при переходе на серийное производство.

8.7 Организация производства

Предприятие относится к опытному или мелкосерийному производству, оборот выпускаемой продукции не превышает нескольких единиц в год, этот показатель не является высоким, но данная ситуация вызвана еще и тем, что предприятие в первую очередь считается проектной организацией, в чьи задачи входит разработка продукции, а не её промышленный выпуск.

8.8 Этапы разработки

. Согласно ГОСТ 15.001-73 установлены следующие этапы выполнения ОКР:

) разработка ТЗ на ОКР;

§  согласование и утверждение ТЗ.

2) техническое предложение;

§  выявление дополнительных или уточненных требований к изделию, его техническим характеристикам и показателям качества, которые не могут быть указаны в ТЗ:

§  проработка результатов НИР;

§  проработка результатов прогнозирования;

§  изучение научно-технической информации;

§  предварительные расчеты и уточнение требований ТЗ.

3) эскизное проектирование;

§  разработка принципиальных технических решений:

§  выполнение работ по этапу технического предложения,

§  выбор элементной базы разработки;

§  выбор основных технических решений;

§  разработка структурных и функциональных схем изделия;

§  выбор основных конструктивных элементов;

§  разработка и испытание макетов.

4) техническое проектирование;

§  окончательный выбор технических решений по изделию в целом и его составным частям:

§  разработка принципиальных электрических, и других схем;

§  уточнение основных параметров изделия;

§  проведение конструктивной компоновки изделия и выдача данных для его размещения на объекте;

§  разработка проектов ТУ на поставку и изготовление изделия;

§  испытание макетов основных приборов изделия в натурных условиях.

5) разработка рабочей документации для изготовления и испытаний опытного образца;

§  формирование комплекта конструкторских документов:

§  разработка полного комплекта рабочей документации;

§  согласование ее с заказчиком и заводом-изготовителем серийной продукции;

§  проверка конструкторской документации на унификацию и стандартизацию;

§  изготовление в опытном производстве опытного образца;

§  настройка и комплексная регулировка опытного образца.

6) изготовление и испытание опытного образца;

§  Проверка соответствия опытного образца требованиям ТЗ:

§  стендовые испытания;

§  предварительные испытания на объекте;

§  испытания на надежность.

2. Формирование рабочей группы.

К организационной части проекта относится анализ подразделения, участвующего в проведении данной работы и его состава. Структура отдела- разработчика представляет собой совокупность подразделений, объединенных единым направлением научно-технических работ.

Рисунок 8.1. Состав рабочей группы.

Таблица 8.1 - Этапы и трудоёмкость проведения ОКР

№ работы

Наименование работы

Должность

Трудоемкость, чел. дней

Численность

Длительность работы, дней

1

Разработка ТЗ на ОКР

Нач.сектора Ст.инженер

40

2

20

2

Техническое предложение

Ст.инженер Инж.-разработчик IIй кат. Инж.-конструктор IIй кат.

45

3

15

3

Эскизное проектирование

Инж.-конструктор IIй кат. Инж.-разработчик IIй кат.

60

2

30

4

Техническое проектирование

Ст.инженер Инж.-конструктор IIй кат. Инж.-разработчик IIй кат.

60

3

20

5

Разработка рабочей документации

Нач.сектора Ст.инженер Инж.-конструктор IIй кат. Инж.-разработчик IIй кат.

80

4

20

6

Изготовление и испытание опытного образца

Нач.сектора Ст.инженер Инж.-разработчик IIй кат. Начальник ОП Технолог Монтажник ЭРЭ Регулировщик

315

7

45

7

Итого




150



В соответствии с данными, приведенными в таблице 8.1, построен график Ганта, приведенный на рисунке 8.2.

Рисунок 8.2 График Ганта.

8.9 Финансовый план

Все работы финансируются из государственного бюджета, прямым сметным финансированием.

8.10 Расчет сметной стоимости ОКР

При разработке системы ШАРУ расходы осуществляются по следующим статьям [10]:

§  Покупные комплектующие изделия.

§  Транспортно-заготовительные расходы.

§  Основная заработная плата исполнителей ОКР.

§  Дополнительная заработная плата исполнителей ОКР.

§  Отчисления в фонды.

§  Накладные расходы.

§  Расходы на научные и производственные командировки

1) Покупные комплектующие изделия (ПКИ).

К этой статье относится стоимость покупных изделий, комплектующих изделий, расходуемых непосредственно в процессе ОКР :

Таблица 8.2.1

№ п/п

Наименование

Количество штук

Стоимость ед. изделия (руб.)

Итого, (руб.)

1

Конденсатор К10-50в-Н90-0,1 мкФ ОЖ0.460.182ТУ

34

5

170

2

Конденсатор К10-50в-Н50-0,01мкФ-1-C ОЖ0.460.182ТУ

1

3

3

3

Конденсатор К53-18-16в-47мкФ ОЖ0.464.136ТУ

3

23

69

4

Конденсатор К10-17в-Н90-0,1мкФ ОЖ0.460.107ТУ

3

9

27

5

Конденсатор К10-17в-Н90-0.22мкФ ОЖ0.460.107ТУ

1

10

10

6

Резистор P1-12-0,125-75 Ом ±10% -У

2

6

12

7

Резистор P1-12-0,125-150 Ом ±2% -Т

4

6

24

8

Резистор P1-12-0,125-1 Ом ±10% -У

3

6

18

9

Резистор P1-12-0,125-51 Ом ±10% -У

1

6

6

10

Резистор P1-12-0,125-510 Ом ±10% -У

14

6

84

11

Резистор P1-12-0,125-24 Ом ±5% -У

2

6

12

12

Резистор P1-12-0,125-47 Ом ±5% -У

1

6

6

13

Резистор Р1-12-0,25-1 кОм

10

6

60

14

MAX963ESD Maxim

2

190

380

15

AD9042AST Analog Devices

1

2340

2340

16

Микросхема 530ЛН1ММ АЕЯР.431200.140-11ТУ

3

168

504

17

EPF10K100ARI240-3 Altera

2

12000

24000

18

EPC2LI20 Altera

2

1500

3000

19

Дросель Д2-0,15-33±5% ГИ0.477.002ТУ

3

2

6

20

Индикатор единичный 3Л341В аА0.339.189ТУ

2

30

60

21

Индикатор единичный 3Л341А аА0.339.189ТУ

1

30

30

22

Розетка РПН23-3Г-В ГЕ0.364.230 ТУ

2

1120

2240

23

Розетка СНП260-135РП31 БСАР.434410.003ТУ

1

1110

1110

24

Вилка СНП346-10ВП22-2-В РЮМК.430420.011ТУ

2

129

258

25

Диод 2Д522Б дР3.362.029 - 01ТУ/02

36

50

1800

26

142ЕН5А бКО.347.098 ТУ3

6

300

1800

27

142ЕН10 бКО.347.098 - 08 ТУ

4

500

2000

28

Дроссель ДМ - 2,4 - 4± 10% В ЦКСН.671342.001ТУ

15

33

495

29

Изделие акустоэлектронное ПАФ1413 УВАИ.468874.016

1

25000

25000

30

Микросхема 533ЛЛ1 бКО.347.141 ТУ7/02

3

185

555

31

Микросхема 530 ЛН2

44

50

2200

32

Микросхема 530 ЛА3

33

140

4620

33

Микросхема 251СА301

22

120

2640


Итого:



73739


Транспортные расходы:



11060,85


ИТОГО (+транспортные расходы):



84799,85


Таблица 8.2.2

№ п/п

Наименование

Количество штук

Стоимость ед. изделия (руб.)

Итого, (руб.)

1

Канцтовары

-/-


700

2

Дискеты

5

14

70

3

Картриджи

1

1500

1500


ИТОГО:



2270


Транспортные расходы:



340,5


ИТОГО (+транспортные расходы):



2610,5


)Транспортно-заготовительные расходы (ТЗР) составляют 15% от общей стоимости материалов, покупных и комплектующих изделий:

а) ТЗР = 73739 × 0,15 = 11060,85 руб.

б) ТЗР = 2270 × 0,15 = 340,5 руб.

)Основная заработная плата исполнителей опытно-конструкторских работ.

На данную статью относится основная заработная плата научных сотрудников, участвующих в выполнении конкретной ОКР. Размер основной заработной платы устанавливается исходя из численности различных категорий исполнителей, трудоёмкости, затрачиваемой ими на выполнение отдельных видов работ, и их средней ставки за один рабочий месяц.

Основная заработная плата (ОЗП) разработчиков рассчитывается по следующей формуле:

,

где МО - должностной оклад разработчика;

ТФ - количество фактически отработанных дней;

ТРД - число рабочих дней в месяце (ТРД = 22 дня).

Таблица 8.3 - Основная заработная плата исполнителей ОКР

Этапы

Длительность в чел/дн.

Должность

Мес. оклад в руб.

Оплата за день руб.

Сумма, полученная за работу в руб.

1

Разработка ТЗ на ОКР

20

Начальник сектора

18000

820

16400

2

Техническое предложение и эскизное проектирование

25

Ведущий инженер

15000

680

17000

3

Техническое проектирование

30

Инженер-конструктор II-й категории

12000

550

16500



30

Инженер-разработчик II-й категории

12000

550

16500

4

Разработка рабочей документации

10

18000

820

8200



10

Ведущий инженер

15000

680

6800



30

Инженер-конструктор II-й категории

12000

550

16500



30

Инженер-разработчик II-й категории

12000

550

16500

5

 Изготовление и испытание опытного образца

10

Начальник сектора

18000

820

8200



10

Ведущий инженер

15000

680

6800



20

Начальник ОП

13000

600

12000



45

Технолог

11000

500

22500



45

Монтажник ЭРЭ

11000

500

22500

6

Итого

186400


)Дополнительная заработная плата (ДЗП) исполнителей ОКР.

К ней относятся выплаты, предусмотренные законодательством за не проработанное время: оплата очередных и дополнительных отпусков, выплаты вознаграждений за выслугу лет и др.

В научных учреждениях дополнительная заработная плата составляет 20 % от основной заработной платы:

ДЗП = 186400× 0,2 = 37280 руб.

Фонд оплаты труда вычисляется как сумма ОЗП и дзп:


)Отчисления в фонды

Отчисления в фонды (СН) определяются в процентном отношении (35.6%) от суммы основной и дополнительной заработных плат, то есть от ФОТ.

ЕСН = 0.356 × ФОТ

ЕСН = 0,356 × 223680 = 79630,08 руб.

)Накладные расходы.

Здесь учитываются расходы на управление и хозяйственное обслуживание, заработная плата аппарата управления и общехозяйственных служб, затраты на содержание и текущий ремонт зданий, сооружений, оборудования и инвентаря, амортизационные отчисления на их полное восстановление и ремонт, расходы по охране труда.

Накладные расходы (НР) составляют 250% от основной заработной платы:

НР = 2,5 × ОЗП = 2,5 × 186400 = 466000 руб.

) Расходы на научные и производственные командировки

Величину расходов на научные и производственные командировки принимают равными 10% от суммы основной заработной платы научного и производственного персонала:

К = 0,1 × ОЗП = 0,1 × 225406 = 22540,6 руб.,

где К - расходы на научные и производственные командировки.

) Договорная цена.

Рассчитаем сначала норматив прибыли (НП). Он составляет 30% от стоимости разработки (СР)

НП = СР × 30% = 957980,08 × 0,3 = 287394 руб.

Договорная цена (ДЦ) может быть найдена как:

ДЦ = СР + НП = 957980 + 287394 = 1 245 374 руб.

В итоге:

Сметная стоимость (СС) ОКР представлена в таблице 8.4:

Таблица 8.4 - Сметная стоимость (СС) ОКР

Наименование статей расхода

Стоимость (руб.)

1

Материалы и покупные изделия

2270

2

Специальное оборудование для научных (экспериментальных) работ

-

3

Основная заработная плата научного персонала

186400

4

Дополнительная заработная плата персонала

37280

5

Отчисления в фонды

79630,08

6

Расходы на научные и производственные командировки

22540,6

 7

Оплата работ, выполняемых сторонними организациями и предприятиями

-

8

Прочие прямые расходы

-

9

Накладные расходы

466000

10

ИТОГО затраты на проект СС:

957980,08

11

Оптовая цена

-

12

НДС

-

13

Норматив прибыли

287394

14

Договорная цена

1 245 374


8.11 Технико-экономическое обоснование целесообразности выполнения проекта

Годовой экономический эффект рассчитывается по формуле :

ЭГ = [(Uа + Eн × Kа) - (Uпр + Eн × Кпр)]× N > 0

где:         Uа и Uпр - годовые эксплуатационные расходы по аналогичному и проектируемому изделию;н - коэффициент экономической эффективности капитальных вложений (» 0,2);а и Кпр - цена аналогичного и проектируемого изделия;= 10 - число потенциальных потребителей.

Эксплуатационные расходы аналогичного и проектируемого изделий примерно равны, поэтому можно пользоваться формулой интегрального экономического эффекта:

ЭГ = (Kа - Кпр) × N

ЭГ = (1 265 000 - 1 245 374) × 10 = 196 260 руб.

В результате выполнения организационно-экономической части дипломного проекта было доказано, что внедрение в производство новой модификации устройства несёт выгоды.

Сравнительные показатели по базовому и новому вариантам представлены в таблице 8.5.

Таблица 8.5.

Критерий

«образец» баллы

Аналог баллы

1. Надежность

8

7

2. Быстродействие

9

7

3. Эффективность

8

7

4. Безотказность

8

7

5. Безопасность

8

8

6. Возможность модернизации

9

7


В результате сравнения новой разработки и базового варианта обнаруживается ряд существенных преимуществ в пользу новой разработки. Повышается надежность, быстродействие, точность новой разработки устройства шумовой автоматической регулировки усиления за счет применения современной, более быстродействующей элементной базы, а именно использование ПЛИС, которая позволяет так же снизить энергопотребление и уменьшить габариты конечного устройства.

В связи с постоянным обновлением элементной базы, а также появлением новых быстродействующих микросхем с меньшей потребляемой мощностью электроэнергии возникает возможность модернизации. Одним из главных же преимуществ использования ПЛИС является возможность программирования или изменения конфигурации устройства непосредственно в системе, что позволяет корректировать работу ячейки.

Новая разработка данной системы, входящая в наземную РЛС увеличит ее быстродействие, точность, надежность, что повысит ее конкурентоспособность на рынке.

8.12 Использование программно-аппаратных средств

Персональный компьютер:

Intel Pentium 4 CPU 2,8GHz, RAM 512 MB, HDD 200 Gb.

Программные стредства:

1.   Quartus II v9.0.

2.   MathWorks Matlab 2011b & Simulink 2011b.

.     Microsoft Office 2010.

4.   Microsoft Visio 2010.

Экономической часть дипломного проекта направлена на описание новой системы шумовой автоматической регулировки усиления. Показано, что новая элементная база несёт в себе не только обновления модификации по последнему слову техники, но и позволяет уменьшить габариты устройства и упростить его настройку, а так же является более выгодной и целесообразной с экономической точки зрения. В этой главе были рассмотрены этапы опытно-конструкторских работ (ОКР) продукта и наиболее вероятные сроки их выполнения. Проведён расчёт сметной стоимости (СС) ОКР, которая составила 1 245 374 рублей. Годовой экономический эффект составил 196 260 рублей, что говорит о целесообразности изготовления проектируемого изделия.

Также в результате проделанной работы было произведено технико-экономическое обоснование данной разработки, приведен график сроков выполнения работ, по которому определено время изготовления изделия, составившего порядка 150 дней.

Благодаря полученным представлениям о программируемых логических интегральных схемах можно сделать предположение о том, что модернизация данного семейства устройств со временем будет набирать всё большее объёмы, что может привести к более простым в процессе сборки вариантам устройства подобного функционирования, но не уступающим в характеристиках.

Все выше сказанное означает, что в случае востребованности данного продукта определённым заказчиком - возрастёт интерес к его разработке, а значит перспективный рост предприятия, что станет гарантом обеспечения его будущего и интерес к нему со стороны потенциальных клиентов.

9. Экологичность и безопасность проекта

.1 Введение

Производимое устройство (модулятор) является электронным блоком и проходит сложный технологический процесс изготовления. Технологический процесс содержит такие операции, как пайка (ручная и автоматическая), формовка и обрезка выводов, лакокрасочные работы. Все они требуют соблюдения норм и требований безопасности к организации рабочих мест, помещений и производства в целом.

В данном разделе пояснительной записки рассмотрены вопросы обеспечение комфортных условий работы персонала в монтажном цеху. В частности будут рассмотрены: организация кондиционирования и освещенности.

9.2 Организация рабочих мест

Помещение монтажных участков должны отвечать требованиям норм СП 44.13330.2011, СП 52.13330.2011 и др.

Полная площадь цеха составляет 86,4 м2. Длина и ширина помещения соответственно равны 14,4 м и 6 м, а высота - 4 м. Весь цех небольшими перегородками разбит на три комнаты:

§  в первой происходит формовка и лужение выводов ЭРЭ, установка ЭРЭ, контроль пайки;

§  во второй - пайка ЭРЭ;

§  в третьей - промывка сушка платы.

Площадь и объем участков пайки определяются с учётом того, что норма площади и объема не должна быть меньше 4,5 м2 и 15 м3 на одного работающего, исключая площадь, занимаемую оборудованием и проходами.

Полы на участке должны быть прочными, не скользкими, не пыльными, позволяющими проводить влажную уборку.

Все производственные помещения должны иметь гладкие, бесшовные влагостойкие покрытия стен, дверей, оконных переплетов, допускающие их влажную уборку.

Условием зрительной работы и снижению психологических нагрузок способствует правильная окраска помещений. Она должна соответствовать требованиям эргономики, стены помещения рекомендуется окрашивать в светлые тона.

Специфика работы монтажника такова, что рабочей позой выбирается поза «сидя». Она определяется, когда человек сидит на сидении без спинки с горизонтальной поверхностью при глубине, обеспечивающей поддержку не более 1/3 длины бедра.

При проектировании рабочего места нужно руководствоваться ГОСТ 12.2.032-78 [11].

9.3 Микроклимат

Под рабочим местом подразумевается место постоянного или временного пребывания рабочего, а под рабочей зоной - пространство высотой 2 м над уровнем пола, на котором расположено рабочее место монтажника.

Микроклимат производственных помещений определяется сочетанием температуры, влажности и скорости движения воздуха. Параметры и концентрация примесей вредных веществ в воздухе на рабочих местах электромонтажников должен соответствовать ГОСТ 12.1.005-88 [12].

В таблице 9.1 приведены данные по токсичности для припоев низкотемпературной пайки.

Таблица 9.1 - Токсичность припоев для низкотемпературной пайки и их ПДК в воздухе рабочей зоны

Состав припоев

Характер воздействия

Влияние на кожу

ПДК мг/м3

Класс опасности

Припои, содержащие кадмий, свинец, бериллий

Высокая степень токсичности, воздействие на почки, кровообращение, органы пищеварения

Раздражение, экзема

0,01 Pb 0,1 Cd 0,001 Be

1 1 1

На основе олова

Малотоксичны. Вызывают пневмокониоз

Хроническая экзема

10

3


Флюсы, применяемые для удаления оксидов с поверхности паяемых материалов, также токсичны и требуют повышенных мер предосторожностей при их хранении и применении. Находятся ли предельно допустимые концентрации вредных веществ в воздухе, определяется по формуле (9.1).

 , (9.1)

где С1, С2, … Сn - концентрации соответствующих вредных веществ в воздухе, мг/м3;

ПДК1, ПДК2, … ПДКn - предельно допустимые концентрации соответствующих вредных веществ, мг/м3.

,

то есть ПДК находится в пределах нормы.

Труд монтажника относится ко второй категории, поэтому микроклимат должен соответствовать требованиям, представленным в таблице 9.2.

Таблица 9.2

Период года

Температура, °С

Относительная влажность, %

Скорость движения воздуха, м/с


оптим.

допуст.

оптим.

допуст.

оптим.

допуст.

Холодный и переходный

18..20

17..23

40..60

75

0,2

0,3

Теплый

21..23

18..27

40..60

65 (при 26 ºС)

0,3

0,2..0,4


Количество тепла выделяемого одним человеком при средней тяжести работ равно: при 10ºС - 165 Вт; при 35ºС - 5 Вт. В помещении постоянно находятся 9 человек, следовательно количество выделяемого тепла равно: при 10ºС - 1485 Вт; при 35ºС - 45 Вт.

Тепловыделения в помещение от оборудования отпеределяется выражением (9.2):

Q = 1000·N·η1·η2·η3·η4 , (9.2)

где N·- установочная мощность электродвигателей, кВт;

η1 - коэффициент использования установочной мощности, равный 0,7..0,9;

η2 - коэффициент загрузки - отношение средней потребляемой мощности к максимально необходимой, равный 0,5..0,8;

η3 - коэффициент одновременности работы электродвигателей, равный 0,5..1;

η4 - коэффициент, характеризующий долю механической энергии, превратившейся в тепло.

При работе оборудования без охлаждающей эмульсии значение произведений коэффициентов можно принимать равным 2,5.


Таблица 9.3 - Мощность оборудования

Операция

Наименование станка

Марка

Мощность, кВт

1

Лужение выводов ЭРЭ

Электрический тигель

Т-40-21 с РТП-2М

11

2

Пайка ЭРЭ

Установки модульного типа

АП-10

13,6

3

Промывка платы

Вибрационные установки

М10-232

12,3

4

Сушка платы

Термошкаф

СНОЛ-3535/3

14

Итого

50,9


Q = 1000·50,9·2,5 = 127250 Вт

Тепловыделение от ванны с припоем определяется по выражению (9.3):

Q = 1000·N·α·n·30% , (9.3)

где α = 0,3 - коэффициент, учитывающий долю тепла, выходящего в цех;

n - коэффициент одновременности работы печей.

Q = 1000·13,6·0,3·0,3 = 1224 Вт

Тепловыделения от искусственных источников определяется по выражению (9.4):

Q = 1000·N , (9.4)

где N·- расходуемая мощность светильников, кВт.

Q = 1000·(40+7·60)/1000 = 460 Вт

При 10 ºС:                 Qобщ. = 130419 Вт ≈ 130 кВт.

При 35 ºС:                 Qобщ. = 128979 Вт ≈ 129 кВт.

Для обеспечения нормативных параметров воздуха в помещении устанавливается система кондиционирования или система вентиляции и отопления. Обычно применяют приточную вентиляцию, подающую воздух равномерно в верхнюю зону в количестве, составляющем 90% объема вытяжки. Применения рециркуляции воздуха в помещении пайки свинцовыми припоями не допускается.

9.4 Общая и местная вентиляция

Для защиты электромонтажников от влияния токсичных газов, дымов, аэрозолей, которые обязуются при пайке флюсом, рабочие места должны оснащаться общей и местной вытяжной вентиляцией [13].

В зависимости от способа перемещения воздуха вентиляция может быть естественной или механической.

9.4.1 Общая вентиляция

Расчёт необходимого количества воздуха для помещений с тепловыделениями производится по избыткам явного тепла, по следующей формуле (9.5):

 , (9.5)

где         Qизб - избыточное тепло в помещении, кДж/ч;

Cρ - массовая удельная теплоёмкость сухого воздуха, равная 1,005 кДж/(кг·ºС);

ρ - плотность поступающего воздуха, равная 1,226 кг/м3;

tвыт - температура воздуха, удаляемого из рабочей или обслуживаемой зоны помещения, ºС;

tпр - температура воздуха, подаваемого в помещение, ºС;

Средняя величина тепловыделений в помещении на 1 м2 составляет 130 кДж/ч, что для помещения площадью 86,4 м2:

Qизб = 130· 86,4 = 11232 кДж/ч.

Температура воздуха, удаляемого из помещения, рассчитывается по формуле (9.6):

tвыт = tр.з + Δt·(Н - 2) , (9.6)

где tр.з - температура в рабочей зоне, ºС;

Δt - температурный градиент по высоте помещения, ºС/м;

Н - расстояние от пола помещения до центра вытяжных проёмов, м.

tвыт = 20 + 2·(4 - 2)·= 24 ºС

 ºС

По имеющимся данным можно рассчитать требуемый расход воздуха для вентиляции рабочего помещения (Vпомещ. = 345,6 м3):

 м3/ч = 0,5064 м3/с.

Рисунок 9.1 - Схема приточной механической вентиляции:

На рисунке 9.1 приняты следующие обозначения :

- воздухоприёмник для забора чистого воздуха;

- воздуховод;

- фильтр для очистки воздуха от пыли;

- калориферы;

- вентиляторы;

- воздухораспределительные устройства (насадки).

9.4.1 Местная вентиляция

Для отсоса паров флюса и припоя при пайке применяется местный отсос в виде прямоугольного отверстия, которое расположено на рабочем месте монтажника, непосредственно рядом с местом пайки. Определяется количество отсасываемого воздуха (9.7):

 , (9.7)

где S - площадь высасывающего отверстия, м2;

Е - большая сторона отверстия, м;

Х - расстояние от плоскости всасывающего отверстия до зоны пайки;

Vx - скорость воздуха в зоне пайки.

Для Vx выбирается значение 0,6 м/с. Принимается Е = 0,3 м, а Х=0,20 м. Определяется оптимальный размер наименьшей стороны всасывающего отверстия:


Площадь всасывающего отверстия:


По формуле (10.7) определется количество отсасываемого воздуха:

Далее определяется допустимая концентрация пыли в удаляемом воздухе. Так как для всех рабочих мест помещения общее количество отсасываемого воздуха:

,

то

 , (9.8)

где К - коэффициент зависящий от ПДК пыли в воздухе рабочей зоны (для аэрозоля свинца К=0,3);

L - объём удаляемого воздуха, тыс. ;


 , (9.9)

где y - удельное образование свинца ; y = 0,03;

n - количество паек в минуту, n = 10;

N - количество рабочих мест.

.

Так как  >>, то в применении специальных мероприятий по охране окружающей среды нет необходимости.

Рисунок 9.2 - Местная вентиляция

9.5 Освещённость

На электромонтажном участке применяют как искусственное, так и естественное освещение. Искусственное и естественное освещение производственных помещений и бытовых должно соответствовать требованиям СП 52.13330.2011 [14]. Искусственное освещение создают лампами накаливания или люминесцентными. Освещение в производственных помещениях зависит от размеров и конфигурации паяльных, сборочных единиц, объектов различения, а также наличия отражающих поверхностей.

При внезапном отключении освещения в рабочих помещениях предусмотрено аварийное освещение, освещение безопасности (5% нормируемой для общего освещения) (9.10):

 , (9.10)

где d - минимальный размер объекта различения;

l - расстояние от объекта до глаз работающего.

Полученное значение входит в интервал 6·10-3..6·10-3, т. е. ΙΙΙ разряд работ (работ высокой точности с номинальным размером объекта различия 0,3..0,5 мм).

Освещение бывает общим, местным и комбинированным [16]. При электромонтажных работах используется комбинированное освещение. Освещенность при комбинированном освещении 2000 лк, общее составляет 200 лк, а местное 1800 лк.

Количество и расположение светильников общего освещения рассчитается в программе DIALux light. Задаются параметры помещения:

§  длина - 14,4 м;

§  ширина - 6 м;

§  высота - 4 м;

§  высота рабочей поверхности - 1,1 м.

Выбирается светильник ASTZ АСТЗ ПВЛМ П-2х40-012+Д2+Р2.

Данный светильник содержит две люминесцентные лампы Т12 G13 мощностью 40 Вт каждая. Подбирается количество светильников и конфигурацию их расположения.

Рисунок 9.3. Распределение освещенности системы общего освещения в помещение монтажного цеха.

Для того, чтобы помещение площадью 86,4 м2 обеспечить световым потоком 200 лк необходимо девять светильников, общая потребляемая мощность которых составляет 720 Вт.

Равномерность распределения освещенности Eмин/Eмакс не должна превышать для работ I - III разрядов - 0,7, что выполняется.

Индекс помещения рассчитывается по формуле (9.11):

 , (9.11)

где         h - расстояние от светильника до рабочей поверхности, м;

A - длина помещения, м;

B - ширина помещения, м.

;

Максимально допустимые удельные установленные мощности

искусственного освещения в производственных помещениях с освещенностью рабочей поверхности 200 лк и индексом помещения 0,6..1,25 составляет не более 11 Вт/м2. В данном случае:

,

то есть данное требование также выполняется.

В данном разделе были освещены вопросы обеспечения комфортных условий труда. Были произведены расчеты общей и местной вентиляции, а также общего освещения в цеху монтажа радиоэлектронных элементов.

Заключение

В рамках дипломного проекта на основе проведенного анализа исходных данных был выбран адаптивный фильтр в качестве базовой структуры для реализации алгоритма работы системы шумовой автоматической регулировки усиления. Были рассмотрены и промоделированы возможные реализации алгоритмов работы системы. Для реализации выбрал алгоритм, использующий оценку дисперсии для перестройки коэффициента передачи системы регулирования мощности шума без использования квадратурных составляющих выходного сигнала.

Был разработан программно-аппаратный модуль реализующий данную систему, описан алгоритм его функционирования и проведена временная симуляция, показывающая корректность проведенных действий.

В первой главе проведен анализ исходных данных, и выделены возможные пути улучшения характеристик системы. Показана возможность реализации данной системы на основе цифрового трансверсального адаптивного фильтра первого порядка. На основе адаптивного фильтра были выведены выражения, описывающие возможные алгоритмы регулирования мощности шума на выходе проектируемой системы, использующие разный подход к методу оценки мощности шума на входе и выходе устройства.

Во второй главе был описан процесс моделирования возможных алгоритмов функционирования системы ШАРУ. Была продемонстрирована точность всех выбранных алгоритмов относительно теоретического алгоритма, расчет которого производился в арифметике с плавающей запятой. Для реализации системы ШАРУ был выбран алгоритм регулирования мощности шума, основанный на адаптивном фильтре, использующий для оценки мощности шума на входе и выходе системы среднее значение дисперсии. Данный алгоритм отличается высокой точности и относительной простой реализации. Разработана структурная схема выбранного алгоритма, проведено математическое моделирование, демонстрирующее его функционирование.

В третьей главе на основе структурной схемы была разработана функциональная схема выбранного алгоритма, описан алгоритм функционирования системы ШАРУ и произведена его текстовая реализация на языке Verilog HDL. Разработана интерфейсная часть модуля, для его последующего встраивания в файл верхнего уровня. Описаны изменяемые и локальные параметры разработанного модуля.

В четвертой главе были описаны ограничения, накладываемые на выбор микросхемы ПЛИС и конфигурационного ПЗУ для неё. В соответствии с этими ограничениями, для реализации системы ШАРУ была выбрана ПЛИС EPF10K100ARI240-3 и конфигурационное ПЗУ .EPC2LI20 фирмы Altera. Приведено описание принципиальной схемы и методики конфигурирования ПЛИС

В пятой главе проведен расчет надежности системы ШАРУ. Изложены основные требования по надежности, предъявляемые к разрабатываемому устройству. Рассмотрены основные понятия, связанные с надежностью. Отдельно приведены основные формулы для расчета наработок на отказ. Расчет надежности системы оформлен в виде таблицы и представлен в приложении Ж. По результатам проведенного расчета сделан вывод о том, что разрабатываемая система полностью удовлетворяет требованиям ТЗ по надежности.

В шестой главе проанализирован программный модуль системы шумовой автоматической регулировки усиления синтезированный в главе 3. Описаны критерии оценки оптимальности. Составлена таблица, содержащая

количество аппаратных ресурсов задействованных при компиляции проекта ПЛИС, а так же оценка быстродействия. Анализ показал, что максимальная тактовая частота, при которой гарантируется точное функционирование модуля, составляет 58,7 МГц, что превосходит заданное в ИД значение более, чем в два раза. Проведено временно моделирование с использование встроенных средств программного пакета Quartus II. Показано, что разработанный модуль функционирует согласно принятому алгоритму.

В седьмой главе был проведен выбор конструкции устройства. Приведено обоснование применения типовой конструкции с одной МПП установленных размеров, состоящей из четырех слоев. Описаны условия стойкости, прочности и устойчивости устройства к воздействию климатических и механических факторов.

В восьмой главе был произведен расчет затрат и составлена смета затрат на выполнение проекта. Разработан бизнес-план и составлен календарный график выполнения проекта. Приведено технико-экономическое обоснование целесообразности выполнения проекта.

В девятой главе были описаны требования, предъявляемые к микроклимату производственных помещений. Выполнен расчет общей и местной вентиляции, общего освещения в цеху монтажа радиоэлектронных элементов.

Список используемых источников

.Уидроу Б., Стирнз С. Адаптивная обработка сигналов. Пер. с англ - М.: Радио и связь, 1989.

.Гудков Н., Адаптивные алгоритмы регулирования уровня дискретных сигналов // Цифровая обработка сигналов. - 2005. - №2. - с.11-14.

.Лайонс Р. Цифровая обработка сигналов: Второе издание. Пер. с англ. - М.: ООО «Бином-Пресс», 2006 г. - 656 с.

.Электронный справочник по функциям Matlab (#"563794.files/image066.gif">

Рис.

Приложение Г

 

Программный код модуля ШАРУ


//n =15, TRANS_COEF[n+1] т.е. верхняя граница - усиление в 2 //раза.

// нижняя граница - 2^15/2=32768/2=16384=2^14 - ослабление в 2 //раза

module block_shary#(          parameter=  12,     //входное слово 11+знак в прямом коде=         15,     //значение единичного коэффициента передачи

// т.е. W=1 -- Wq=2^n

k=           5,       // кол-во усреднений 2^k

DISP_ET=       4900)// CКО=70, DISP=70^2

(    input           clk,             enable,                  [WIDTH-1:0]        IN,reg             [WIDTH-1:0]    OUT,

//transmission coefficientreg          [n:0] TRANS_COEF

);   N=                                 1 << n,        //2^n;=                                     1 << k,             //2^k;_COEF=            2*N/100,     //2*M*N, M=0.01_COEF_min=        1 << (n-1),_COEF_CORR_max=4096;               TRANS_COEF=           N;      [k-1:0]        i;        [3:0]             j;    //max(j)= Width-2

// т.е. 10, что умещается в 4 разряда.

wire                            clk_on;                          accum;        [WIDTH-1:0]                     OUT_tmp;        [WIDTH+n:0]                OUT_mod_tmp;             OUT_zn_tmp1,OUT_zn_tmp2,OUT_zn_tmp3,OUT_zn_tmp4;    [WIDTH+WIDTH-3:0]              OUT_kv; [WIDTH+WIDTH-3:0] IN_kv;[WIDTH+WIDTH-3:0]          DISP_IN;    // Dispersion on input[WIDTH+WIDTH-3:0]          DISP_IN_tmp;[WIDTH+WIDTH-3+k:0] DISP_IN_sum;

// Dispersion on output

(*preserve*)reg [WIDTH+WIDTH-3:0] DISP_OUT;[WIDTH+WIDTH-3+k:0] DISP_OUT_sum; [WIDTH+WIDTH-3:0] DISP_ERROR;

//numerator to find the correction[WIDTH+WIDTH-3+n-6:0]        NUM_TO_CORR;

//correction of the transmission coefficient[n-1:0]       TRANS_COEF_CORR;                            TRANS_COEF_CORR_zn;[n-1:0]   TRANS_COEF_CORR_tmp; [n+1:0]              TRANS_COEF_tmp;          clk_on = enable ? clk : 1'b0;

//полечение выходных значений, задержка знака, проверка на //переполнение.

always @(posedge clk)_zn_tmp1<=        IN[WIDTH-1];_zn_tmp2<=             OUT_zn_tmp1;_zn_tmp3<=         OUT_zn_tmp2;_zn_tmp4<=    OUT_zn_tmp3;( OUT_mod_tmp[WIDTH+n:WIDTH+n-1]==2'b00)_tmp <= { OUT_zn_tmp4, OUT_mod_tmp[WIDTH-2+n:n] };(j=0; j<=WIDTH-2; j= j+1)_tmp[j] <= 1;_tmp[WIDTH-1] <= OUT_zn_tmp4;

end

// выдача результата по заднему фронту

always @(negedge clk)<= OUT_tmp;

// подстройка TRANS_COEF при enable = 1@(posedge clk_on)<= i+1;

//накопление дисперсий(!accum)_IN_tmp <=          DISP_IN_sum >> k;_IN_sum <=                   IN_kv;_OUT        <=   DISP_OUT_sum >> k;_OUT_sum <=             OUT_kv;_IN_sum <=         DISP_IN_sum + IN_kv;_OUT_sum <=      DISP_OUT_sum + OUT_kv;(i)

:     begin<= 0;

:     begin

Accum <= 1;

//сигнал ошибки и знак корректировки

if(DISP_ET >= DISP_OUT)_ERROR <= DISP_ET - DISP_OUT;_COEF_CORR_zn <= 0;_ERROR <= DISP_OUT - DISP_ET;_COEF_CORR_zn <= 1;

4:   begin // подача знаменателя на делитель

DISP_IN <= DISP_IN_tmp;

end

:     begin // ограничение корректировки сверху(TRANS_COEF_CORR_tmp < TRANS_COEF_CORR_max)_COEF_CORR <= TRANS_COEF_CORR_tmp;_COEF_CORR <= TRANS_COEF_CORR_max;

:     begin // рассчет коректировки(!TRANS_COEF_CORR_zn)_COEF_tmp <= TRANS_COEF + TRANS_COEF_CORR;_COEF_tmp <= TRANS_COEF - TRANS_COEF_CORR;

end

:     begin // проверка границ регулирования и введение

// корректировки(TRANS_COEF_tmp[n+1]||(TRANS_COEF_tmp<TRANS_COEF_min))_COEF <= TRANS_COEF ;_COEF <= TRANS_COEF_tmp;_mult   mult_IN_TRANS (         // OUT_mod_tmp = IN * TRANS COEF

.dataa (IN[WIDTH-2:0]),

.datab (TRANS_COEF),

.clock (clk),

.result (OUT_mod_tmp),

.aclr (1'b0),

.clken (1'b1),

.sum (1'b0));_IN_TRANS.lpm_hint = "MAXIMIZE_SPEED=6",_IN_TRANS.lpm_pipeline = 4,_IN_TRANS.lpm_representation = "UNSIGNED",_IN_TRANS.lpm_type = "LPM_MULT",_IN_TRANS.lpm_widtha = WIDTH-1,_IN_TRANS.lpm_widthb = n+2,_IN_TRANS.lpm_widthp = WIDTH+1+n; square_IN (                   // IN^2

.clock (clk_on),

.data (IN[WIDTH-2:0]),

.result (IN_kv),

.aclr (1'b0),

.ena (1'b1));_IN.data_width = WIDTH-1,_IN.lpm_type = "ALTSQUARE",_IN.pipeline = 4,_IN.representation = "UNSIGNED",_IN.result_width =WIDTH+WIDTH-2;     square_OUT (                   // OUT^2

.clock (clk_on),

.data (OUT_tmp[WIDTH-2:0]),

.result (OUT_kv),

.aclr (1'b0),

.ena (1'b1));_OUT.data_width = WIDTH-1,_OUT.lpm_type = "ALTSQUARE",_OUT.pipeline = 4,_OUT.representation = "UNSIGNED",_OUT.result_width = WIDTH+WIDTH-2;_mult        mult_NUMER (    // NUM_TO_CORR = SPEED_COEF * DISP_ERROR

.dataa (SPEED_COEF),

.datab (DISP_ERROR),

.clock (clk_on),

.result (NUM_TO_CORR),

.aclr (1'b0),

.clken (1'b1),

.sum (1'b0));_NUMER.lpm_hint = "MAXIMIZE_SPEED=6",_NUMER.lpm_pipeline = 4,_NUMER.lpm_representation = "UNSIGNED",_NUMER.lpm_type = "LPM_MULT",_NUMER.lpm_widtha = n-6,_NUMER.lpm_widthb = WIDTH+WIDTH-2,_NUMER.lpm_widthp = WIDTH+WIDTH-2+n-6;_divide    divide_D(    // TRANS_COEF_CORR=NUM_TO_CORR/DISP_IN

.denom (DISP_IN),

.clock (clk_on),

.numer (NUM_TO_CORR),

.quotient (TRANS_COEF_CORR_tmp),

.aclr (1'b0),

.clken (1'b1));_D.lpm_drepresentation = "UNSIGNED",_D.lpm_hint=

"MAXIMIZE_SPEED=6,LPM_REMAINDERPOSITIVE=TRUE",_D.lpm_nrepresentation = "UNSIGNED",_D.lpm_pipeline = 26,_D.lpm_type = "LPM_DIVIDE",_D.lpm_widthd = WIDTH+WIDTH-2,_D.lpm_widthn = 11+n+1;


Приложение Д

Электрическая принципиальная схема ячейки

Рис.

Рис.

Рис.

Таблица


Таблица



Таблица



Приложение Е

Перечень элементов

Рис.

Приложение Ж

Топология печатной платы. Слой питания

Рис.


Приложение З

Топология печатной платы. Сигнальный слой

Рис.

Приложение И

Таблица. Расчет надежности для цифровой системы ШАРУ

Наименование элемента

lб*106, 1/ч

Кэ

Кр

Кдн

Кф

Кs1

К

Кc

КR

Ккк

Ккс

Ккт

Км

Число

 lЭ*106, 1/ч

nl*106, 1/ч

Конденсатор

















К10-17в-Н90

0,03

5

0,067





1,75






4

0,0175875

0,07035

К53-18

0,17

5

0,319





1






3

0,27115

0,81345

К10-50в-Н90

0,04

5

0,067





1,59






34

0,021306

0,724404

К10-50в-Н50

0,04

5

0,067





1,59






1

0,021306

0,021306

Микросхемы

















EPF10K100ARI240-3














1

0,064

0,064

EPC2LI20














1

0,012

0,012

MAX963ESD Maxim














1

0,039

0,039

AD9042AST Analog Devices














1

0,18

0,18

530ЛН1

0,019

1,5





1,35







3

0,038475

0,115425

Дроссель Д2-0,15-33

0,002

18

0,47











3

0,01692

0,05076

Индикатор единич.3Л341

0,05

2,5

0,786











3

0,09825

0,29475

Резисторы

















Р1-12-0,125<1кОм

0,052

5

0,41






1




0,7

27

0,07462

2,01474

Р1-12-0,25=1кОм

0,052

5

0,41






0,7




0,7

10

0,052234

0,52234

Розетка РПН23-3Г-В

0,02

3

1,08







1

0,32



2

0,020736

0,041472

Розетка СНП260-135РП32

0,0013

3

1,08







10,65

0,39



1

0,0174945

0,017494542

Вилка СНП346-10ВП22*

0,001

3

1,08







2,58

0,39



2

0,0032601

0,006520176

Пайка с печатным монтажом

0,0026

4












221

0,0104

2,2984

Пайка волной

0,000069

4












462

0,000276

0,127512

Печатная плата














1

0,68912

0,68912

Итого: lэ=8,0965235×10-6 1/ч Тср=123509,8


Похожие работы на - Регулирование мощности шума по принципам адаптивной фильтрации, отвечающей высоким требованиям к точности настройки

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!