Разработка цифрового частотомера

  • Вид работы:
    Дипломная (ВКР)
  • Предмет:
    Физика
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    827,49 kb
  • Опубликовано:
    2011-12-23
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Разработка цифрового частотомера

1. Задание на курсовой проект


Разработать цифровой частотомер с источником питания от сети переменного тока напряжением 220 В, частотой 50 Гц.


2. Технические условия


1.       Нижняя граница диапазона частот, Гц             150;

2.       Верхняя граница диапазона частот, Гц            8000;

.        Рабочий диапазон температур, єС                    0…70;

.        Погрешность дискретности цифрового частотомера, Гц   2,0;

.        Время индикации частоты, с                             4,0;

.        Тип ячейки индикации                                      газоразрядный;

.        Элементная база                                                ТТЛ.

3. Обоснование структурной схемы


В цифровом частотомере подсчитывают число импульсов N, соответствующее числу периодов неизвестной частоты fx за известный высокоточный интервал времени, называемый временем измерения Ти. Если за время Ти подсчитано N импульсов, то среднее значение измеряемой частоты fx = N / Tи. При времени измерения Ти = 1с количество подсчитанных импульсов (периодов) N и есть значение измеряемой частоты (Гц), т.е. fx = N.

Структурная схема данного способа измерения частоты приведена на Рис. 1. Входное устройство, состоящее из широкополосного усилителя-ограничителя, предназначенного для согласования частотомера с источником сигнала, а также для усиления или ограничения напряжения на входе до значения, запускающего формирователь. Формирователь преобразует синусоидальные или периодические импульсные сигналы в последовательность импульсов постоянной амплитуды с большой крутизной фронтов, независимо от входного сигнала, частота следования которых равна частоте измеряемого сигнала.

Рис. 1 Структурная схема цифрового частотомера

Высокоточное время измерения вырабатывает формирователь эталонного времени, входным сигналом которого являются импульсы с выхода кварцевого генератора и делителя частоты. Делитель частоты делит частоту кварцевого генератора 100 кГц декадными ступенями (в 10 раз) до 1Гц. Полученная частота используется для формирования высокоточного, стабильного времени измерения в 1 с.

Устройство управления управляет всеми процессами измерения и обеспечивает заданное время индикации результата измерения на цифровом табло; сброс счетных декад и других схем в «нулевое» состояние перед каждым циклом измерения; вырабатывает импульс, открывающий селектор на время счета.

Электронный счетчик, предназначенный для счета поступающих с временного селектора импульсов, состоит из нескольких последовательно соединенных счетных декад, каждая из которых соответствует определенному порядку частоты входного сигнала (единицам, десяткам, сотням герц и т.д.). Цифровой индикатор обеспечивает отображение результатов измерений, поступающих с дешифратора. Последний преобразует двоично-десятичный код 8-4-2-1, поступающий со счетных декад, в десятичный код.

4. Выбор элементной базы


Частотомер будет выполнен на интегральных микросхемах, следовательно, необходимо определить какие серии интегральных микросхем надо использовать. Ниже приведены основные параметры микросхем структуры ТТЛ.

Таблица 1 - Основные характеристики цифровых интегральных схем различных серий ТТЛ структуры

Серия

Icc, мА

tзд р, нс

Uвых0, В

Uвых1, В

К155

не более 25

10

0.4мах

2.4-3.5min

К131

не более 10

10

0.4мах

2.4-3.5min

К555

не более 160

9.5

0.4мах

2.7-3.4min


Так как в проектируемом частотомере из-за относительно низкой частоты входного сигнала нет особых требований к используемым микросхемам, то в устройстве использованы микросхемы 155 серии.

Для разработки частотомера использованы также газоразрядные индикаторы ИН-8-2.

5. Усилитель-ограничитель

цифровой частотомер схема аналоговый

Усилитель-ограничитель (рис. 2) предназначен для преобразования аналогового сигнала в цифровой. Усилитель выполнен на транзисторе VT1 по схеме с ОЭ и работает в режиме С. Резистор R2 определяет положение рабочей точки усилителя. Диод VD1 ограничивает входной сигнал «снизу», т.е. не пропускает отрицательную полуволну входного сигнала. С коллекторной нагрузки R3 транзистора снимаются импульсы, имеющие пологие фронты и срезы. Для получения импульсов с крутыми фронтами и срезами, необходимыми для работы логических элементов цифровых схем, служит формирователь (триггер Шмитта) на логических элементах 2И-НЕ DD1.1 и DD1.2. Чувствительность и ширина петли гистерезиса триггера Шмитта определяется соотношением сопротивлений резисторов R4 и R5.

Рис. 2. Схема усилителя-ограничителя и формирователя

Рис. 3 Временные диаграммы усилителя-ограничителя и формирователя

6. Селектор импульсов


Селектор импульсов представляет собой последовательно соединенные логический элемент 2И-НЕ (DD1.3) и инвертор, собранный из такого же логического элемента 2И-НЕ (DD1.4).

Рис. 4. Схема принципиальная селектора импульсов

На один из входов логического элемента DD1.3 поступает последовательность импульсов неизвестной частоты fx. В отсутствии сигнала эталонного времени измерения Ти сигнал fx на выход селектора не проходит. При поступлении сигнала эталонного времени N импульсов неизвестной частоты поступают на вход электронного счетчика импульсов.

 


7. Кварцевый генератор и делитель частоты


Кварцевый генератор частотомера собран на трех инверторах на базе логических элементов 2И-НЕ (DD2.1, DD2.2, DD2.3) микросхемы К155ЛА3. Логический элемент DD2.4 является буферным. Генераторы на микросхемах ТТЛ обычно работают на частоте последовательного резонанса кварцевого резонатора ZQ1 из-за малого входного сопротивления логических элементов.

Рис. 5. Схема принципиальная кварцевого генератора

Кварцевый генератор в общем случае представляет собой усилитель, в цепь обратной связи которого включен кварцевый резонатор. Для возбуждения и поддержания колебаний при использовании последовательного резонанса кварцевого резонатора фазовый сдвиг между входным и выходным напряжениями усилителя должен быть равен 360єn, где n=1,2,3, … Такой сдвиг фаз и обеспечивают два инвертора. Резисторы R7 и R8 обеспечивают смещение на входе логического элемента DD2.1. Для исключения отрицательной обратной связи по переменному току служит конденсатор С5. Емкостное сопротивление конденсатора на рабочей частоте должно быть в 10…20 раз меньше, чем у резистора R7. Настройка кварцевого генератора производится подбором емкости конденсатора С4.

В данном частотомере применяется кварцевый резонатор с частотой последовательного резонанса 100 кГц, класса точности настройки «13» - , с интервалом рабочих температур «Ш» - минус 10…80єС, максимальным относительным изменением рабочей частоты δ0 в интервале рабочих температур - «И» по ГОСТ 27124-86.

Для получения эталонного времени измерения 1 с, т.е. эталонной частоты 1 Гц потребуется делитель на 105. Такой делитель можно получить из пяти декадных делителей. В составе 155 серии микросхем имеется декадный делитель К155ИЕ1 с фазоимпульсным представлением информации. Установка микросхемы в 0 производится одновременной подачей импульса на входы «&» и «R». Рабочей полярностью счетных импульсов, подаваемых одновременно на входы «&» и «C», является отрицательной. Одновременно с каждым десятым входным импульсом на выходе формируется равный ему по длительности выходной импульс отрицательной полярности. Выходным сигналом каскада из пяти микросхем является последовательность импульсов с периодом в Ти=1 с.

Рис. 6. Схема делителя частоты

Скважность выходного импульса микросхемы К155ИЕ1 очень велика, поэтому для дальнейшей обработки сигнала на выход делителя включен инвертор DD3.3.

8. Формирователь эталонной частоты


Формирователь эталонной частоты представляет собой усилитель - ограничитель на транзисторе VT2 с последовательно соединенным триггером Шмитта на элементах 2И-НЕ DD3.1 и DD3.2 микросхемы К155ЛА3.

Рис. 9. Формирователь эталонной частоты

Сигнал с выхода формирователя эталонной частоты поступает в формирователь эталонного времени.

9. Формирователь эталонного времени


Формирователь эталонного времени состоит из двух D триггеров микросхемы DD9 типа К155ТМ2, на счетные входы которых поступают импульсы эталонной частоты. Принцип действия формирователя рассмотрим с момента поступления импульса установки нуля. В момент поступления импульса триггеры DD9.1 и DD9.2 устанавливаются в нуль. C инверсного выхода уровень логической единицы поступает на вход D триггера DD9.1. (См. Рис. 11 Временные диаграммы). При этом, на входе D триггера DD9.2 имеется постоянный уровень логической единицы.

Рис. 10. Формирователь эталонного времени измерения

Фронт первого импульса эталонной частоты, поступающего на счетные входы, устанавливает триггеры в состояние единицы. Импульс с прямого выхода триггера DD9.1 поступает в селектор и сигнал неизвестной частоты fx начинает поступать в счетчик импульсов. Тем временем на вход D триггера DD9.1 c инверсного выхода триггера DD9.2 поступает сигнал логического нуля, поэтому фронт второго импульса эталонной частоты устанавливает триггер DD9.1 в нуль, т.е. в первоначальное состояние и на вход счетчика импульсы неизвестной частоты перестают поступать. Таким образом, формируется эталонное время измерения неизвестной частоты, равное периоду эталонной частоты, т.е. Ти=1 с. По окончании времени измерения на инверсном выходе триггера устанавливается уровень логической единицы. Фронт этого импульса дифференцируется цепочкой C7R19 и сформированный при этом импульс поступает на вход элемента DD10.1.

Рис. 11 Временные диаграммы

10. Формирователь времени индикации


Элементы DD10.1 и DD10.2 типа 2И-2ИЛИ-НЕ микросхемы К155ЛР1 и транзистор VT3 образуют ждущий мультивибратор, определяющий время индикации Тинд измеренной частоты. При поступлении импульса запуска через дифференцирующую цепочку C7R19 на вход элемента DD10.1 он включается и на выходе его устанавливается уровень логического нуля. Напряжение на базе транзистора VT3 становится отрицательным и равным U1= - 3.2 В. Транзистор VT3 закрывается. Конденсатор С8 перезаряжается.


В момент, когда напряжение на левой по схеме обкладке конденсатора С8 достигает порога открывания транзистора VT3 (U2=0.75 В), он открывается, при этом на выходе элемента DD10.1 устанавливается уровень логической единицы, а на выходе DD10.2 - уровень логического нуля. Так происходит формирование импульса индикации. Длительность этого импульса определяется по формуле:


Для насыщенного режима транзистора VT3 должно быть R15≈30 кОм. R20=110 Ом ограничивает токи заряда и разряда конденсатора. По исходным данным время индикации . Вычислим емкость конденсатора С8


Принимаем по ряду Е6 конденсатор К50-35-16В - 220 мкФ + 50%-20%

11. Устройство сброса


Устройство сброса представляет собой одновибратор и предназначен для приведения схемы частотомера в исходное состояние для начала очередного цикла измерения. Одновибратор собран на элементах 2И-НЕ микросхемы К155ЛА3.В исходном состоянии на верхнем по схеме входе элемента DD11.1 поддерживается состояние логической единицы, поэтому на выходе этого элемента - состояние логического нуля, а на выходе элемента DD11.2 - логической единицы. Импульс запуска низкого уровня образуется дифференцирующей цепочкой C9R21 со спада импульса на выходе формирователя времени индикации в момент окончания индикации.

Рис. 13. Схема устройства сброса

После запуска напряжение на выходе DD11.2 падает. Этот перепад через конденсатор С10 передается на верхний по схеме вход элемента DD11.1, уменьшая напряжение на нем. С этого момента прекращение действия запускающего импульса не сказывается на дальнейшей работе одновибратора. Конденсатор С10 перезаряжается по цепи питания DD11.1 - вход DD11.1 - С10 - выход DD11.2. Напряжение на верхнем по схеме входе DD11.1 возрастает, и когда оно достигнет порогового значения, происходит переключение обоих элементов. Начинается процесс восстановления исходного состояния одновибратора и перезаряд конденсатора С10. Диод VD3 ограничивает напряжение на верхнем по схеме входе элемента DD11.1 при переключениях элементов. В результате на выходе DD11.2 получаем короткий однократный импульс низкого уровня. Инвертор DD11.3 преобразует этот импульс в однократный импульс высокого уровня, необходимый для сброса схемы частотомера в исходное состояние.

12. Электронный счетчик и цифровой индикатор

 

Электронный счетчик представляет собой двоично-десятичный последовательный счетчик, работающий в коде 1-2-4-8. В основе его интегральная микросхема К155ИЕ2. Для получения декады с коэффициентом пересчета 10 соединяют выводы С2 и 1. Так как цифра, соответствующая верхней границе диапазона измеряемых частот является четырехразрядным, то электронный счетчик содержит 4 последовательно соединенных двоично-десятичных счетчика. Счетчик DD12, на вход которого поступают импульсы измеряемой частоты, представляет младший разряд и на его выходе получается двоичный код, соответствующий единицам измеряемой частоты. Импульсы с выхода счетчика поступает на вход следующего счетчика (DD13), представляющего десятки, и так далее, сотни (DD14) и тысячи (DD15) измеряемой частоты. Установка счетчиков в нулевое состояние производится одновременной подачей положительного импульса сброса на выводы & и R0 всех счетчиков.

Для индикации измеренной частоты в данном частотомере применены газоразрядные цифровые индикаторы типа ИН8-2, каждый электрод которых представляет собой отдельную цифру. Нормальная работа газоразрядного индикатора обеспечивается подачей на его анод, через ограничительный резистор (R25 … R28), постоянное или пульсирующее напряжение 180…200 В.

Для этой цели в частотомере применен выпрямитель с удвоением напряжения. Катод, соответствующий необходимой цифре, соединяется с общим проводом через дешифратор. Дешифраторы DD16…DD19 предназначены для преобразования кода 1-2-4-8 на выходе двоично-десятичного счетчика в сигнал низкого уровня на одном из катодов соответствующего цифрового индикатора Н1…Н4. В качестве дешифраторов применяются интегральные дешифраторы К155ИД1, разработанные специально для газоразрядных индикаторов.

Рис. 14 Схема электронного счетчика и индикатора

 


13. Погрешности измерений частотомера


Основная особенность последовательного счета импульса, положенного в основу работы цифровых частотомеров, состоит в увеличении погрешности измерения при уменьшении частоты.

Относительная погрешность измерения частоты:


Значение первой слагаемой погрешности дискретности зависит от соотношения времени измерения  и периода исследуемого сигнала. Погрешность дискретности в основном обусловлена несовпадением моментов появления счетных импульсов относительно фронта и спада строб-импульса. Если и - кратные числа, то значение , если же и - некратные числа, то значение  зависит от взаимного расположения и , т.е. несовпадения моментов их появления. При этом максимальная абсолютная погрешность счета импульсов  не превышает одного импульса , определяющего младший разряд счета.

Значение второй слагаемой погрешности определяется нестабильностью частоты кварцевого генератора , задающего время измерения . Относительная погрешность времени измерения равна относительной погрешности частоты кварцевого генератора и составляет значение порядка 10-7, т.е.


Итак, относительная погрешность измерения частоты равна:

,


На нижней границе диапазона частот, где погрешность измерения имеет наибольшее значение, находим для , и


Абсолютная погрешность дискретности:

 Гц<±2 Гц

что соответствует техническому заданию.

14. Расчет потребляемой мощности


Расчет потребляемой мощности приведен в таблице.

Элемент

Iпот, мА

Uпит, В

Количество, шт.

Р общ, мВт

К155ЛА3

16

5

4

320

К155ЛР1

12

5

1

60

К155ТМ2

31

5

1

155

К155ИЕ1

14

5

5

350

К155ИЕ2

53

5

4

1060

КР155ИД1

25

5

4

500

ИН8-2

3,5

200

4

2800



Расчет блока питания


+ 200 В-для цифровых инликаторов;

+5 В-для питания схемы частотомера.

Для выбора трансформатора определим найдем примерную габаритную мощность трансформатора S2. Для этого определим токи, потребляемые от источника напряжением Е1=5 В.

Ток коллектора VT1 усилителя-ограничителя:

;

Ток коллектора VT2 в формирователе эталонной частоты:

;

Ток коллектора VT3 в формирователе времени измерения:

;

Суммарный ток потеребления всех микросхем от источника Е1:


Примерная габаритная мощность трансформатора:


Из справочника [5] выбираем унифицированный трансформатор
ТА125-127/220-50 с параметрами:

номинальная мощность 68 В·А;

 ток первичной обмотки не более 0,35 А;

номинальный ток вторичных обмоток III, III’, IV и V равен 0,92 А;

номинальный ток вторичных обмоток II, II’равен 0,1 А;

напряжение вторичных обмоток II, II’, III, III’равно 28 В,

напряжение вторичных обмоток IV и V равно 6 В.

Схема трансформатора приведена на рисунке 20.

Рис. 20. Схема трансформатора ТА125-127/220-50

Выберем для создания источника напряжения +5 В последовательно соединенные обмотки IV и V трансформатора с номинальными напряжениями 6 В. Остальные обмотки трнсформатора с номинальным напряжением 28 В соединим последовательно для создания источника питания напряжением +200 В.

В качестве выпрямителя для источника Е1=+5 В выбираем мостовую схему, позволяющую применять полупроводниковые диоды с небольшим обратным напряжением при хорошем использовании обмотки трансформатора.

Применим диодную сборку КЦ405Е с параметрами:

;

;

.

Выбор выпрямительного блока осуществляется по среднему выпрямительному току и амплитуде обратного напряжения. Для мостовой схемы:

,

где  - ток потребления источника питания +5В.


где  - выпрямленное напряжение.

Так как источник питания Е1=5 В является стабилизированным, то в источнике применяется интегральный стабилизатор напряжения DA1 типа К142ЕН5Г с выходным напряжением 5±0,18 В. Входное напряжение стабилизатора должно лежать в пределах 7,5 … 20 В, поэтому принимаем выпрямленное напряжение равным . Максимальный ток потребления в данной схеме равен округленно .

Подставляя численные значения в формулы получаем:

.

.

Сравнивая эти значения с параметрами диодной сборки КЦ405Е, делаем вывод о том, что сборка выбрана правильно и ее предельные параметры обеспечивают надежную работу источника питания.

Для сглаживания пульсаций выпрямленного напряжения на выходе моста устанавливают конденсаторы.

Рассчитаем значения емкостей для выпрямителя и уточним напряжения на выходе выпрямителя.

Сопротивление нагрузки выпрямителя Rн1:


Поскольку выпрямитель нагружен на емкость, то его реактивное сопротивление  принимают равным:


Подставляем численные значения:


Исходя из рекомендаций [9] определим параметр А, который позволит рассчитать значения емкости и уточнить напряжение на выходе выпрямителя.

Для мостовой схемы параметр А рассчитывается по формуле:


где  - выпрямленное напряжение.

Рассчитаем парметр А1

;

;

;

.

Уточняем значение U01:

.

Это входное напряжение допустимо для интегрального стабилизатора К142ЕН5Г со следующими параметрами:

;

;

Ток во вторичной обмотке  рассчитывается по формуле:

.

Рассчитанный ток во вторичной обмотке трансформатора не превышает его паспортных значений данных для номинального режима (0,92А).

Рассчитаем величину Сi по формуле:


где  - коэффициент пульсации.

Согласно ряду Е6 выбираем конденсатор номиналом 1000 мкФ.

Для подавления импульсных помех параллельно электролитическим конденсаторам подключаем конденсаторы КМ-5б-Н90-0,047мкФ.

После выхода стабилизатора устанавливаются аналогичные конденсаторы.

Рассчитаем выпрямитель для питания анодных цепей цифровых индикаторов по схеме удвоения напряжения. Исходные данные для расчета выпрямителя:

Напряжение вторичной обмотки трансформатора   ;

Выходное напряжение выпрямителя

Количество фаз выпрямителя

Ток нагрузки ;

Принимаем ориентировочные значения параметров , . Выбор полупроводниковых диодов осуществляется по среднему выпрямленному току и амплитуде обратного напряжения. Для схемы удвоения:

,


Напряжение в сети изменяется в пределах 220В±10%, т.е. параметр

,

Тогда максимальное выпрямленное напряжение:


Наибольшая амплитуда обратного напряжения


Таким образом, выбираем полупроводниковый диод, удовлетворяющий условиям:

, .

Из справочника [] выбираем полупроводниковый диод КД105Б с параметрами: , ,

Сопротивление диода в прямом включении:


Активное сопротивление трансформатора определяем по формуле:

,

где -коэффициент, зависящий от схемы выпрямителя

частота переменного тока в сети;

- амплитуда магнитной индукции в магнитопроводе трансформатора;

- количество стержней магнитопровода трансформатора.

Подставляем числовые значения:

Активное сопротивление фазы трансформатора:


Определяем уточненные параметры выпрямителя:


Из графиков для m=1 находим:

;

;

;

.

Необходимое напряжение вторичной обмотки трансформатора:

Так как фактическое напряжение вторичной обмотки трансформатора , выпрямленное напряжение будет несколько больше, что не повлияет на работу цифровых индикаторов.

Ток во вторичной обмотке трансформатора:

Емкость конденсатора для получения коэффициента пульсации :

;

Согласно ряду Е6 выбираем конденсатор номиналом 10 мкФ.

Выберем электролитический конденсатор К50-35-160В-10 мкФ.

Заключение


В представленной курсовой работе спроектирован цифровой частотомер, предназначенный для измерения частоты синусоидального и импульсного сигналов в диапазоне частот от 150Гц до 8000Гц. Разработан источник питания схемы управления, источников эталонного времени и частоты, а так же источник питания по схеме удвоения напряжения для цифровых индикаторов. Частотомер питается от промышленной сети переменного тока напряжением, равным 220В±10%, частотой 50 Гц.

В частотомере использованы микросхемы высокой степени интеграции ТТЛ структуры серии К155.

Список литературы


1. Э.Г. Атамалян, Приборы и методы измерения электрических величин: учеб. пособие для втузов. - М.: Дрофа, 2005.

. С.А. Бирюков. Радиолюбительские цифровые устройства. - М.: Радио и связь, 1982.

. Е.А. Зельдин. Импульсные устройства на микросхемах: - М.: Радио и связь, 1991.

. Микросхемы для бытовой радиоаппаратуры: Справочник/И.В. Новаченко, В.М. Петухов, И.П. Блудов, А.В. Юровский.-М.:КУбК-а, 1996.

. И.Н. Сидоров, В.В. Мукосеев, А.А. Христинин. Малогабаритные трансформаторы и дроссели: Справочник - М.: Радио и связь, 1985.

. С.В. Якубовский, Л.И. Ниссельсон и др. Цифровые и аналоговые интегральные микросхемы: Справочник - М.: Радио и связь, 1990;

. В.Л. Шило. Популярные цифровые микросхемы: Справочник. - М.: Радио и связь, 1987.

. В.С. Гутников. Интегральная электроника в измерительных устройствах. - 2-е изд., перераб. и доп. - Л.: Энергоатомиздат. Ленингр. отд-ние, 1988

. В.Е. Китаев и др. Расчет источников электропитания устройств связи.-М. Радио и связь. 1993.


Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!