Тема: Генератор треугольных и прямоугольных импульсов (функциональный генератор), с источником питания от сети переменного тока

  • Вид работы:
    Дипломная (ВКР)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    201,17 Кб
    Скачать
  • Опубликовано:
    2013-05-15
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Похожие работы

 
  • Широтно-импульсный модулятор
    Генератор прямоугольных импульсов необходим для образования импульсов на следующем блоке – ГЛИНе. Исходя из задания, определяем...
    Этот блок представляет собой генератор треугольного напряжения. В настоящее время генераторы с малым коэффициентом...
    СкачатьСкачать документ Читать onlineЧитать online
  • Генератор звуковых частот
    Г4-83, Г4-129, РГ4-14. ·Г5 - генераторы импульсов , воспроизводят последовательности прямоугольных ...
    ... генераторы сигналов специальной формы, воспроизводят последовательности импульсов разной формы: треугольной , пилообразной, трапецеидальной и др.
    СкачатьСкачать документ Читать onlineЧитать online
  • Основы электроники
    3.1. На микросхеме К1402Д8А собран генератор прямоугольных импульсов , частота выходного сигнала которого регулируется переменным...
    Напряжение треугольной формы снимается с конденсатора С1 и через регулятор уровня на переменном резисторе R1...
    СкачатьСкачать документ Читать onlineЧитать online
  • Генератор линейно возрастающего напряжения
    К КУРСОВОМУ ПРОЕКТУ (РАБОТЕ) по дисциплине Электроника и микропроцессорная техника. Генератор линейно...
    Многие сферы нашей жизнедеятельности уже невозможно представить себе без электронных приборов. 1Общие сведения об импульсах . .1Виды импульсов .
    СкачатьСкачать документ Читать onlineЧитать online
  • Разработка устройства, предназначенного для формирования импульсных сигналов с ...
    При аналоговой реализации ШИМ, сигналы получаются путем сравнения треугольного несущего сигнала и сигнала...
    ...используется периодическая последовательность прямоугольных импульсов , а информационным параметром, связанным с дискретным модулирующим...
    СкачатьСкачать документ Читать onlineЧитать online
  • Схемотехника аналого-цифровых устройств
    Часть I. Анализ технического задания. Вариант 8-5-2. Требуется рассчитать генератор на операционном...
    Таким образом, периодически происходит переход из одного состояния в другое. Первый импульс имеет меньшую длительность, т.к. формируется при.
    СкачатьСкачать документ Читать onlineЧитать online
Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!