Система управления движением локомотива с использованием ГЛОНАСС/GPS

  • Вид работы:
    Курсовая работа (т)
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    1,15 Мб
  • Опубликовано:
    2016-06-09
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Система управления движением локомотива с использованием ГЛОНАСС/GPS

Министерство образования Республики Беларусь

Учреждение образования

БЕЛОРУССКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ИНФОРМАТИКИ И РАДИОЭЛЕКТРОНИКИ

Кафедра систем управления

Факультет информационных технологий и управления

ПОЯСНИТЕЛЬНАЯ ЗАПИСКА

К курсовому проекту

На тему

Система управления движением локомотива с использованием ГЛОНАСС/GPS


Студент Круглов А.В.

Руководитель Сорока Н.И.




Минск, 2014

Содержание

Введение

1. Область применения системы и описание технологического процесса

2. Структура системы

2.1 Структура системы

2.2 Линия связи

2.3 Структура сигнала

3. Алгоритм функционирования системы

3.1 Алгоритм передающей стороны

3.2 Алгоритм приемной стороны

3.3 Блок схемы алгоритмов

4. Структурная схема системы

4.1 Разработка структурной схемы передающего устройства

4.2 Разработка структурной схемы принимающей части системы

4.3 Выбор элементной базы

5. Принципиальная электрическая схема системы

6. Расчётная часть

7. Системные расчёты

7.1 Расчет и построение спектра сигналов в линии связи

7.2 Расчёт помехоустойчивости

7.3 Расчет надежности

8. Разработка программного обеспечения

8.1 Программа работы микроконтроллера на передатчике

Заключение

Список литературы

Введение


В настоящее время наблюдается активное развитие информационных технологий. Современное общество уже невозможно представить без возможностей, которые даёт эта постоянно совершенствующаяся сфера. С увеличением доступности средств массовой информации увеличивается и необходимость в обеспечении скоростной, качественной передачи данных на почти неограниченные расстояния. Появляется необходимость в создании всё более эффективных систем, которые бы обеспечивали обработку, хранение информации, наиболее точную её передачу, конфиденциальный доступ. При этом система должна быть оптимальна по затратам энергии и денежных средств.

Передача информации востребована и в сфере железнодорожных перевозок. Это объясняется не только необходимостью контроля местоположения локомотива с целью избежания аварийных ситуаций, но и с экономической точки зрения, так как позволяет обеспечить эффективное использование локомотивного парка, снизить затраты на выполнение маневровых работ на станциях, контролировать расход топлива. Внедрение систем мониторинга транспортных систем с использованием глобальных навигационных спутниковых систем позволяет повысить безопасность движения, автоматизировать и повысить качество процессов управления движением.

Данный курсовой проект разработан с целью обеспечения передачи данных с бортовой аппаратуры локомотива на диспетчерскую станцию для дальнейшей её обработки, а именно: для удобного отображения полученной информации на цифровой карте или схеме путеводного развития объекта внедрения.

Проект состоит из разделов, посвящённых выбору канала связи, его структуры и структуры сигналов, разработке общего алгоритма функционирования. Далее на основании полученного алгоритма будет представлена структурная схема системы. В следующем разделе будут приведены расчёты частотных и скоростных показателей канала связи. После этого будет приведен энергетический расчёт канала связи. На основании полученных данных, следующий раздел будет посвящён выбору элементов системы и последующее построение электрической принципиальной схемы. Далее приведены системные расчёты системы и проектирование программного обеспечения системы.

1. Область применения системы и описание технологического процесса


В течение ряда лет в рамках Федеральной целевой программы "Глобальная навигационная спутниковая система" специалисты ОАО"МКБ "Компас" разрабатывали систему точного определения местоположения локомотивов с использованием спутниковых радионавигационных систем ГЛОНАСС/ GPS (далее - система). Структура системы построена таким образом, что позволяет использовать её как на магистральном железнодорожном транспорте ОАО "РЖД",

так и на предприятиях промышленного железнодорожного транспорта, имеющих свой локомотивный парк и путевую инфраструктуру, обслуживающих различные производственные комплексы добывающей, металлургической, химической и иных отраслей промышленности.

Система представляет собой высокотехнологичный аппаратно-программный комплекс, предназначенный для оперативного обеспечения в режиме реального времени систем управления движением на железнодорожном транспорте, а также других железнодорожных АСУ и ГИС (геоинформационных систем) информацией о местонахождении, скорости и направлении движения локомотивов с использованием систем ГЛОНАСС/GPS. Она обеспечивает диспетчерский персонал и смежные системы информацией о номере пути следования, местоположении на пути в железнодорожной системе координат, скорости и направлении движения локомотива с точностью, достаточной для решения задач управления движением. В качестве смежных систем могут быть использованы автоматизированные системы управления работой станций, автоматизированные системы контроля расхода топлива, автоматизированные системы контроля сроков проведения технического обслуживания и плановых ремонтов локомотивов, автоматизированные системы контроля использования локомотивов на предприятиях контрагентов и многие другие АСУ и ГИС, которым необходима в режиме реального времени точная информация о местоположении, направлении и скорости движения локомотивов.

Система создавалась с целью решения следующих наиболее значимых для железнодорожного транспорта задач:

·        повышение безопасности движения на железнодорожном транспорте;

·        обеспечение автоматического определения местоположения, направления и скорости движения локомотивов в режиме реального времени на цифровой карте путевого развития станций и перегонов;

·        обеспечение управления движением локомотивов на малодеятельных линиях и станциях, не оборудованных средствами сигнализации, централизации и блокировки (СЦБ);

·        снижение затрат, связанных с содержанием и эксплуатацией подвижного состава, за счёт повышения эффективности использования локомотивного парка, сокращения холостых пробегов локомотивов, контроля за расходом топлива;

·        автоматизация и повышение качества процессов управления движением и сокращение влияния человеческого фактора;

·        снижение затрат на выполнение маневровых работ на станциях.

локомотив передача цифровая карта

2. Структура системы


Система состоит из двух основных частей: бортовой аппаратуры, которая устанавливается непосредственно на локомотив, и постовой аппаратуры.

Блок бортовой аппаратуры построен на основе операционной системы реального времени (ОСРВ) QNX Neutrino и вычислительного модуля FASTWEL CPC304, выполненного в форм-факторе PC/104. Выбор в качестве вычислительного ядра модуля FASTWEL СРС304 объясняется оптимальным соотношением производительности и цены, широким температурным диапазоном эксплуатации, а также поддержкой операционной системы QNX.

К достоинствам данного модуля можно дополнительно отнести пассивное охлаждение, наличие интерфейсов RS-232 и RS-485, а также разъёма для подключения Compact Flash-карт. Вычислительный модуль является ядром блока бортовой аппаратуры, в состав которой также входят:

·        блок питания;

·        плата расширения COM-портов;

·        плата коммутации с установленными ПРНС, к которой подключается устойчивый к внешним воздействиям защищённый электронный ключ, выполняющий функции идентификации машиниста и функции регистрации информации;

·        GSM-модем.

Состав и структура бортовой аппаратуры показаны на рис.2.1.

Как видно на рис.2.2, блок бортовой аппаратуры собран в виде "сэндвича" плат форм-фактора PC/104.

Постовая аппаратура системы состоит из следующих компонентов:

·        QNX-сервер системы;

·        ЭВМ диспетчера;

·        Блоки аппаратуры удалённых постов.

Рис. 2.1 Состав и структура бортовой аппаратуры системы

Рис. 2.2 Общий вид блока бортовой аппаратуры системы

·        Бортовая аппаратура собирает данные от ПРНС и датчиков контроля параметров, установленных на локомотиве, выполняет первичную обработку данных, формирует пакеты данных и передаёт их по радиоканалу сотовой GSM-связи на QNX-сервер системы.

·        QNX-сервер принимает информацию от оснащённых блоками бортовой аппаратуры локомотивов и информацию от блоков аппаратуры удалённых постов, распределённых по объекту внедрения системы, в состав которых входят ПРНС с антенной и модем.

·        QNX-сервер выполняет в режиме реального времени совместную обработку данных, полученных от блоков бортовой аппаратуры и аппаратуры удалённых постов, вычисляет местоположение, направление и скорость движения локомотивов, записывает в базу данных (БД) координатно-временную и служебную информацию, а также информацию о параметрах работы локомотива и передаёт эту информацию в ЭВМ диспетчера и смежные системы.

·        ЭВМ диспетчера получает по каналу Ethernet (это позволяет использовать существующие линии связи) из БД информацию о местоположении, направлении и скорости движения локомотивов, а также информацию о произошедших событиях (начало движения, выход за границы предписанных зон, въезд и выезд на территорию контрагентов и др.) и, по желанию оператора, отображает её на цифровой карте или схеме путевого развития объекта внедрения. ПО ЭВМ диспетчера позволяет также осуществлять воспроизведение траектории движения выбранного локомотива, формировать, отображать на экране и выводить на печать различные отчёты о работе объекта, упомянутые ранее.

Обеспечение необходимой точности и оперативности предоставления и обработки данных, расчёт местоположения сразу для нескольких локомотивов должны проводиться в режиме реального времени, поэтому сервер работает под управлением ОС РВ QNX Neutrino. Применение QNX Neutrino позволяет достигнуть высокого уровня надёжности работы системы. Уровни приоритетов задач и дисциплина их планирования, свойственные данной ОС РВ, обеспечивают многопоточную обработку данных с заранее определённой циклограммой работы, а её микроядерная архитектура - требуемую производительность системы.

2.1 Структура системы


Данная система предназначена для определения местоположения (географических координат), а также параметров движения (скорости и направления движения и т.д.) для локомотивом с использованием ГЛОНАСС/GPS

Передаваемая информация проходит ряд преобразований:

         деление на блоки;

-        межблоковое перемежение;

         сжатие с помощью алгоритма RLE;

         криптографическое закрытие путём шифрования RSA;

         защитное кодирование циклическим кодом;

         линейное кодирование;

         GMSK модуляция;

На приемной стороне действия, обратные выше описанным, производятся в обратном порядке.

Для обеспечения максимальной параллельности работы, а, следовательно, повышения производительности, в качестве формирователей блоков и буферных устройств используем отдельные микроконтроллеры. Основную обработку информации, ее посылку на модулятор, и прием с демодулятора также производят микроконтроллеры.

Обобщенная структурная схема передающей стороны представлена на рисунке 3

Рисунок 3 - Обобщенная структурная схема передающей стороны

Рисунок 4 - Обобщенная структурная схема принимающей стороны

 


2.2 Линия связи


Линия связи - это физическая среда, по которой передаются сигналы. От ее состояния зависит надежность действия систем телемеханики. Свойства, параметры и характеристики линий связи, а также их стабильность во времени и при изменении внешних условий определяют энергетические требования, предъявляемые к сигналу, оказывают влияние на его формирование и на используемые методы передачи, на принципы построения схемных решений приемопередающей аппаратуры.

В данном курсовом проекте используется один из основных видов систем космической связи: связь "Земля-Космос" и "Космос-Земля" с искусственными спутниками земли. Учитывая близость расположения источников информации и большую протяженность канала связи, в проектируемой системе используем радиальную структуру линии связи.

 

2.3 Структура сигнала


Структура сигналов в системе представлена на рисунке 4.

Структура сигнала состоит из времени передачи синхрокода, времени передачи кода адреса ИИ, времени передачи кода параметра, времени передачи самого параметра и кода конца.

Происходит защита информации от каждого датчика при помощи циклического кода. Под кодированием в широком смысле понимается переход от одного способа задания информации к другому, допускающий восстановление исходной информации.

Для корректного приема сообщения необходима синхронизация отправителя и получателя, которая, как правило, реализуется одним из двух способов: независимо друг от друга (асинхронно) или согласованно (синхронно). Если передаваемые данные составлены из последовательности отдельных символов, то, как правило, каждый символ передается независимо от остальных и получатель синхронизируется вначале каждого получаемого символа. Для такого типа связи обычно используется асинхронная передача. Если передаваемые данные образуют непрерывную последовательность символов или байтов, то тактовые генераторы отправителя и получателя должны быть синхронизированы в течении длительного промежутка времени. В этом случае используется синхронная передача. По заданию режим передачи данных - асинхронный.

В асинхронном режиме каждый байт данных сопровождается специальными сигналами "старт" - стартовый бит и "стоп" - стоповый (ые) бит (ы). Назначение этих сигналов состоит в том, чтобы, во-первых, известить приемник о приходе данных и, во-вторых, чтобы дать приемнику достаточно времени для выполнения некоторых функций, связанных с синхронизацией, до поступления следующего байта. Асинхронным данный режим называют потому, что каждый байт может быть несколько смещен во времени относительно побитовых тактов предыдущего байта. Такая асинхронность передачи байт не влияет на корректность принимаемых данных, так как в начале каждого байта происходит дополнительная синхронизация приемника с источником за счет стартового бита. Более "свободные" временные допуски определяют низкую стоимость оборудования асинхронной системы.

Рисунок 4. - Асинхронная передача

3. Алгоритм функционирования системы


Порядок работы системы иллюстрируется алгоритмами функционирования передающей и приемной сторон.

3.1 Алгоритм передающей стороны


При включении передающего устройства происходит инициализация портов ввода/вывода, флагов готовности, счётчиков. Далее наступает режим ожидания приёма блока информации.

Приёмник ГОНАСС принимает суперкадр длиной 7500 бит, состоящий из 5 кадров длиной 1500 бит. Данные в двоичной форме поступают на вход последовательного интерфейса устройства формирователя блоков. Последовательность разбивается на 8-битные посылки, дополненные старт и стоп битами. На момент прихода очередного байта происходит программное прерывание и его сохранение в буферную память, а также увеличение счётчика принятых байт. На момент получения полного кадра программа добавляет в служебную информацию адрес источника, обнуляет количество принятых байт текущего блока, увеличивает счётчик принятых блоков. Флаг готовности приёма блока снимается.

Программа опрашивает счётчик и, когда он становится равным 0, наступает обработка данных.

Посылки с формирователя блоков поступают по параллельному интерфейсу на управляющий контроллер. Затем осуществляется блоковое перемежение. Создается и построчно заполняется таблица полученных циклическим кодированием информационных и проверочных символов. После заполнения таблицы осуществляется последовательное считывание символов по столбцам и их передача для дальнейшей обработки.

Сжатие блоков информации осуществляется по алгоритму RLE. Принцип сжатия заключается в том, что последовательность повторяющихся символов заменяется служебным символом, за которым находится непосредственно сам повторяющийся символ и количество его повторений. Если же в исходной последовательности встречается служебный символ, то в выходной последовательности он удваивается.

В микроконтроллере это реализуется путём сравнения очередного и следующего байта. Если байты совпадают, то счётчик числа совпадений увеличивается, а на место очередного байта записывается следующий. Когда байты перестали совпадать (или блок данных закончился), данные со счётчика поступают на устройство записи совместно со сгенерированным служебным символом и повторяющимся байтом, а затем в буферную память. Если же байт одиночный, то он сразу поступает в выходной блок информации, если байт совпадает со значением служебного символа, то он дублируется.

Криптографическое закрытие информации осуществляется кодированием по алгоритму RSA.

Для использования RSA необходимо сгенерировать два больших простых числа p и q, определим n = p×q. Далее необходимо выбрать случайное число d так, что бы оно было взаимно простым с числом (p-1) × (q-1). Определяем такое число е, для которого является истинным следующее соотношение (e×d) mod ( (p-1) × (q-1)) =1. Числа e и n являются открытым ключем, а числа d и n - секретным.

Теперь, для шифрации данных по известному ключу {e,n}, необходимо сделать следующее:

         разбить шифруемые данные на блоки, каждый из которых может быть представлен в виде числа M (i) =0,1,2., n-1 (т.е. только до n-1).

-        зашифровать текст, рассматриваемый как последовательность чисел

M (i) по формуле [7]:

 (2.2)

В качестве метода защиты информации используем кодирование циклическим кодом с образующим полиномом P (x) = x16+x12+x5+1.

Для построения защищенной кодовой комбинации воспользуемся следующей процедурой:

1)      Умножим исходную кодовую комбинацию G (x) на одночлен x16

2)      Делим произведение на образующий полином P (x)

)        Полученный остаток R (x) складываем с произведением G (x) × x16

Полученная на последнем шаге кодовая комбинация является защищенной.

В качестве метода формирования требуемого энергетического спектра используем CDP. Этот способ является комбинацией алгоритмов NRZI и РЕ и использует следующие представления битов цифрового потока:

биты 0 представляются переходом напряжения в том же направлении, что и для предшествующего бита (от +U к - U или от - U к +U);

биты 1 представляются переходом напряжения в направлении, противоположном предшествующему биту (от +U к - U или от - U к +U).

Устройство формирования спектра последовательно выбирает бит из исходной последовательности, сравнивает его с предыдущим, и, в зависимости от результата, записывает соответствующую расширенную последовательность. Далее соответственно принятому стандарту GSM осуществляется Гауссовская частотная модуляция с минимальным сдвигом. Принцип её работы заключается в том, что перед модуляцией последовательность из прямоугольных импульсов данных проходит через Гауссовский фильтр. Преимущество данного вида модуляции в том, что он имеет минимальный уровень излучения на боковых и зеркальных частотах, то есть не мешает другим пользователям эфира. Плотность информации - 1 бит на символ или на герц. Данный вид модуляции относится к частотным видам модуляции с непрерывной фазой.

Модулирующий сигнал получается путем преобразования информационного потока из вида 0/1 в вид −1/+1. Затем −1/+1 сигнал фильтруется таким образом, что сформированный +1/-1 прямоугольный сигнал преобразуется в сигналы, имеющие форму гауссовского импульса. Далее полученный сигнал подается на ЧМ модулятор с индексом модуляции равным 0,5, и таким образом образуется полный сигнал GMSK. Стоит отметить, что нормированная полоса Гауссовского фильтра Вт принимается равным 0,3, так как это обеспечивает оптимальные импульсные характеристики.

Рисунок 2.1 Структурная схема GMSK модулятора

3.2 Алгоритм приемной стороны


Модем приемной стороны формирует внешнее прерывание по которому происходит дальнейшая обработка данных. Основная программа периодически проверяет счетчик блоков, при его ненулевом значении начинается обработка данных.

Как и УОД передающей стороны, УОД принимающей стороны имеет последовательный интерфейс, для связи с буферными устройствами, основное запоминающее устройство, регистр хранения размера блока данных, служебные блоки.

В качестве метода формирования требуемого энергетического спектра используем CDP. Устройство восстановления спектра сравнивает текущую пару битов входной последовательности с предыдущей и в зависимости от результата записывает соответствующий бит в выходную последовательность.

Полученный блок дешифрируется из циклического кода. Обработанные подблоки проверяются на ошибки. Для проверки принятой кодовой комбинации на отсутствие ошибок, разделим ее на образующий полином, в случае равенства остатка нулю - искажений нет, иначе комбинация бракуется, ее дальнейшая обработка прекращается и на дисплей выводит сообщение об ошибке.

Криптографическое закрытие информации осуществляется кодированием с открытым ключом, по алгоритму RSA. Для дешифрации этих данных, используя секретный ключ {d,n}, выполним следующие вычисления:

 (2.3)

В результате получаем множество чисел M (i), которые представляют собой исходные данные.

Для деперемежения создается таблица, выполняется последовательная запись символов по столбцам до полного заполнения таблицы. Затем данные считываются по строкам и декодируются.

Сжатие исходных данных производилось по алгоритму RLE. Устройство декомпрессии последовательно выбирает байты из основного запоминающего устройства, если очередной байт не является служебным, то он переписывается в буферную память без изменений. Если же очередной байт служебный, то выбирается байт следующий за ним, если он неслужебный, то это значит, что следующим байтом будет число повторов. В этом случае второй выбранный байт записывается в буферную память требуемое число раз. Если же второй байт также является служебным, то необходимо также выбрать третий байт, если он также является служебным - значит в буферную память записывается последовательность служебных байт, иначе - одиночный служебный байт.

После выполнения всех операций УОД передает данные на буферные устройства, которые служат для сопряжения с потребителями информации, которыми в данном случае являются ПК.

3.3 Блок схемы алгоритмов


Рисунок 2.1 - Обобщенная схема алгоритма передающей стороны

Рисунок 2.2 - Обобщенная схема алгоритма принимающей стороны

4. Структурная схема системы


4.1 Разработка структурной схемы передающего устройства


Указанный на схеме источник информации - приёмник ГЛОНАСС МНП-М7 является источником кодовой комбинации. Кодовая комбинация поступает на микроконтроллер MCS-51, являющийся формирователем блока данных. Изначально в счетчик этого процессора заносится требуемая длина блока, которая впоследствии декрементируется по мере поступления информации с источника.

При получении информации заданной длины, блок данных передается на устройство управления, в качестве которого используем модифицированный микроконтроллер C8051F120.

Основные функции системы передачи дискретной информации реализуются программно для микроконтроллера C8051F120, такие как сжатие, шифрование и кодирование.

Затем данные поступают на устройство защиты от ошибок, которое использует циклический код.

После этого выставляется флаг готовности приемника, потому что буферная память хранения незащищенного блока более не востребована, а следовательно можно принимать следующий блок.

Защищенные от ошибок подблоки попадают на устройство блокового перемежения.

Изменение по определенному правилу естественного порядка следования символов в некоторой кодовой последовательности называют перемежением, процедуру обратную перемежению, принято называть деперемежением. В результате выполнения процедуры деперемежения восстанавливается естественный порядок следования символов.

Методы перемежения-деперемежения обычно используются для разрушения пакетов ошибок, вызванных замираниями уровня принимаемого сигнала, и, следовательно, уменьшения степени группирования ошибок в последовательности символов, поступающих на вход канального декодера. При перемежении передаваемое кодовое слово формируется из символов различных кодовых слов. Поэтому при деперемежении возникающий пакет ошибок разбивается на отдельные ошибки, принадлежащие различным кодовым словам. Иначе говоря, при деперемежении пакет ошибок трансформируется в последовательность независимых ошибок, для исправления которых, как правило, можно использовать менее мощный код. С увеличением глубины перемежения можно ожидать улучшения характеристик помехоустойчивости, поскольку при этом происходит ослабление корреляции ошибок. Но при этом возрастает задержка в доставке сообщения, связанная с выполнением процедур перемежения-деперемежения. Поэтому приходится принимать компромиссное решение между степенью улучшения характеристик помехоустойчивости и возможной задержкой.

При блоковом перемежении кодовые слова записываются в виде таблицы, размеры которой определяются длиной кодового слова и размером блока информации. После заполнения таблицы осуществляется последовательное считывание символов по столбцам и их дальнейшая обработка в микропроцессорном устройстве. Криптографическое закрытие информации по алгоритму RSA

В качестве метода формирования требуемого энергетического спектра используем CDP.

Далее обработанный блок информации поступает на модулятор, выполняющий все необходимые процедуры для передачи данных в ЛС.

4.2 Разработка структурной схемы принимающей части системы


Приемное устройство производит демодуляцию, оценку и качественное преобразование сигнала.

Сигнал из канала связи попадает на аналоговый вход модема, демодулируется и передается на порт контроллера C8051F120.

Далее осуществляется алгоритм линейного декодирования CDP.

На следующем этапе происходит проверка на наличие ошибок и если они обнаружены, то весь блок бракуется, а микроконтроллер начинает прием и обработку следующего блока.

После проверки на ошибки, блок передается на ведущий контроллер по схеме, использованной в передающей части системы.

С полученного блока информации снимается криптозащита.

После этого блок деперемежается, для чего аналогично передающей стороне формируется матрица, заполняемая слева направо сверху вниз по столбцам. После полного заполнения матрицы блок считывается построчно и передается на дальнейшую обработку.

Ведущий микроконтроллер, приняв блок, снимается флаг готовности приемника.

4.3 Выбор элементной базы


Все функциональные блоки проектируемой системы передачи данных осуществляют обработку сигналов в цифровой форме. Основными управляющими элементами являются микроконтроллеры передатчика и приёмника информации. В качестве микроконтроллеров передающей стороны выберем микроконтроллеры семейства MCS-51 фирмы Intel Corporation. Данный микроконтроллер способен выполнять возложенные на него задачи, является быстрым, простым и надёжным решением.

Микроконтроллеры семейства MCS-51 выполнены на основе высокоуровневой n-MOP технологии и выпускаются в корпусах БИС. Для работы микроконтроллера требуется один источник питания +5 В.

Порты P0, P1, P2, P3 являются квазидвунаправленными портами ввода-вывода и предназначены для обеспечения обмена информацией микроконтроллера с внешними устройствами, образуя 32 линии ввода-вывода.

Микроконтроллер также содержит универсальный асинхронный приемопередатчик (УАПП), через который осуществляется прием и передача информации, представленной последовательным кодом (младшими битами вперед), в полном дуплексном режиме обмена, что может позволить организовать быстрый и удобный обмен информацией между микроконтроллером и внешними устройствами.

-битное арифметико-логическое устройство (АЛУ) может выполнять арифметические операции сложения, вычитания, умножения и деления; логические операции "И", "ИЛИ", "исключающее ИЛИ", а также операции циклического сдвига, сброса, инвертирования и т.п.

В составе средств MCS-51 имеются регистровые пары с символическими именами ТН0, ТL0 и ТН1, ТL1, на основе которых функционируют два независимых программно-управляемых 16-битных таймера/счетчика событий.

Память программ и память данных, размещенные на кристалле МК51, физически и логически разделены (гарвардская архитектура).

Внешняя память данных (постоянное запоминающее устройство - ПЗУ) имеет емкость 4 Кбайт. Резидентная память данных (оперативное запоминающее устройство - ОЗУ), предназначенная для хранения переменных в процессе выполнения прикладной программы, адресуется одним байтом и имеет емкость 128 байт. Память программ, так же как и память данных, может быть расширена до 64 Кбайт путем подключения внешних БИС (ВПД).

Основным функциональным элементом как передающей, так и приёмной стороны является центральный микроконтроллер C8051F120 фирмы Silicon Laboratories. Данный микроконтроллер также построен на архитектуре MCS-51, однако имеет ряд преимуществ, а именно:

Сверхскоростное ядро 8051

-       конвейерная архитектура инструкций;

-       выполнение 70% команд за 1 или 2 такта;

-       производительность до 100 MIPS на тактовой частоте 100МГц;

-       расширенная обработка прерываний;

-       до 22 источников прерывания;

Память

-       8448 байт внутренней памяти RAM;

-       128 kB FLASH памяти, программируемой "в системе" секторами по 512байт;

Аналоговая периферия

-       12 битовый АЦП;

-       нелинейность ±1 МЗР;

-       до 100 тыс. преобразований в секунду;

-       до 12 внешних входов;

-       программируемый усилитель с коэффициентами 16, 8, 4, 2, 1, 0.5;

-       встроенный датчик температуры (точность ±3°С);

-       8 битовый АЦП;

-       до 500 тыс. преобразований в секунду;

-       до 8 внешних входов;

-       программируемый усилитель с коэффициентами 4, 2, 1, 0.5;

-       два 12 битовых ЦАП;

-       2 компаратора;

Цифровая периферия

-       64/32 полноценных портов ввода/вывода;

-       аппаратные UART (два порта!), SMBus/I2C и SPI последовательные порты;

-       пять 16 битных счётчика/таймера общего назначения;

-       16 битный программируемый счётчик (PCA) с пятью модулями захвата/сравнения;

-       режим сторожевого таймера;

-       двунаправленный сброс;

Источники тактового сигнала:

-       встроенный программируемый осциллятор до 25МГц с точностью 2% и поддержкой UART операций, встроенная ФАПЧ;;

-       внешний осциллятор: кварцевый резонатор, RC, C - цепочки или внешний генератор;

-       режим реального времени с использованием Timer3 или PCA;

-       напряжение питания от 2.7 до 3.6В;

-       рабочий диапазон температур не хуже - 40°С. +85°С для всех микроконтроллеров;

-       встроенный отладчик:

-       полный встроенный отладчик, отладка в системе (не требует эмулятора!);

-       предусмотрена установка контрольных точек, временных точек и пошаговое движение программы;

-       позволяет проверять и модифицировать память и регистры.

Ниже приводится полная структурная схема МК C8051F120.

Рисунок 6.1 - Структурная схема микроконтроллера C8051F120.

Для сохранения блоков информации от всех источников недостаточно внешней памяти данных C8051F120, поэтому подключаем дополнительно микросхему фирмы Intel Corporation 8xC51RA, имеющую ёмкость 32Кбайт.

Ниже приводится схема подключения внешней памяти данных к любым микроконтроллерам семейства MCS-51. Отметим, что в качестве внешней памяти данных могут быть использованы как микросхемы ОЗУ так и микросхемы ПЗУ.

Рисунок 6.2 - Схема подключения внешней памяти данных к микроконтроллерам семейства MCS-51.

Архитектура семейства микроконтроллеров MCS-51 в значительной мере предопределяется ее назначением - построение компактных и дешевых цифровых устройств. Все функции микро-ЭВМ реализуются с помощью единственной микросхемы. В состав семейства MCS-51 входит целый ряд микросхем, от самых простых до достаточно сложных. Все микросхемы этого семейства работают с одной и той же системой команд, большинство из них выполняется в одинаковых корпусах с совпадающей цоколёвкой (нумерация ножек для корпуса). Это позволяет использовать для разработанного устройства микросхемы разных фирм-производителей без переделки структурной и принципиальной схемы устройства и программы. Ниже приводится полная структурная схема семейства микроконтроллеров MCS-51.

Рисунок 6.3 - Структурная схема микроконтроллеров семейства MCS-51.

Структурная схема микроконтроллеров семейства MCS-51 представлена на рисунке 6.2 и состоит из следующих основных функциональных узлов: блока управления, арифметико-логического устройства, блока таймеров/счетчиков, блока последовательного интерфейса и прерываний, программного счетчика, памяти данных и памяти программ. Двусторонний обмен осуществляется с помощью внутренней 8-разрядной магистрали данных. Различные микросхемы этого семейства различаются только регистрами специального назначения (в том числе и количеством портов). Система команд всех контроллеров семейства MCS-51 содержит 111 базовых команд с форматом 1, 2 или 3 байта и не изменяется при переходе от одной микросхемы к другой. Это обеспечивает прекрасную переносимость программ с одной микросхемы на другую.

Блок управления и синхронизации (Timing and Control) предназначен для выработки синхронизирующих и управляющих сигналов, обеспечивающих координацию совместной работы блоков во всех допустимых режимах ее работы.

В состав блока управления входят:

устройство формирования временных интервалов;

логика ввода-вывода;

регистр команд;

регистр управления потреблением электроэнергии;

дешифратор команд, логика управления ЭВМ.

Устройство формирования временных интервалов предназначено для формирования и выдачи внутренних синхросигналов фаз, тактов и циклов. Количество машинных циклов определяет продолжительность выполнения команд. Практически все команды выполняются за один или два машинных цикла, кроме команд умножения и деления, продолжительность выполнения которых составляет четыре машинных цикла. Обозначим частоту задающего генератора через Fг. Тогда длительность машинного цикла равна 12/Fг или составляет 12 периодов сигнала задающего генератора. Логика ввода - вывода предназначена для приема и выдачи сигналов, обеспечивающих обмен информации с внешними устройствами через порты ввода вывода Р0-Р3.

Регистр команд предназначен для записи и хранения 8-ми разрядного кода операции выполняемой команды. Код операции, с помощью дешифратора команд и логики управления ЭВМ, преобразуется в микропрограмму выполнения команды.

Регистр управления потреблением (PCON) позволяет останавливать работу микроконтроллера для уменьшения потребления электроэнергии и уменьшения уровня помех от микроконтроллера. Еще большего уменьшения потребления электроэнергии и уменьшения помех можно добиться, остановив задающий генератор микроконтроллера. Этого можно достичь при помощи переключения бит регистра управления потреблением PCON. Для варианта изготовления по технологии n-МОП (серия 1816 или иностранных микросхем, в названии которых в середине отсутствует буква 'c') регистр управления потреблением PCON содержит только один бит, управляющий скоростью передачи последовательного порта SMOD, а биты управления потреблением электроэнергией отсутствуют.

Арифметико-логическое устройство (ALU) представляет собой параллельное восьмиразрядное устройство, обеспечивающее выполнение арифметических и логических операций. АЛУ состоит из:

регистров аккумулятора, регистров временного хранения TMP1 и TMP2;

ПЗУ констант;

сумматора;

дополнительного регистра (регистра В);

аккумулятора (ACC);

регистра слова состояния программы (PSW).

Регистр аккумулятор и регистры временного хранения - восьмиразрядные регистры, предназначенные для приема и хранения операндов на время выполнения операций над ними. Эти регистры программно не доступны.

ПЗУ констант обеспечивает выработку корректирующего кода при двоично-десятичном представлении данных, кода маски при битовых операциях и кода констант.

Параллельный восьмиразрядный сумматор представляет собой схему комбинационного типа с последовательным переносом, предназначенную для выполнения арифметических операций сложения, вычитания и логических операций сложения, умножения, неравнозначности и тождественности.

Регистр B - восьмиразрядный регистр, используемый во время операций умножения и деления. Для других инструкций он может рассматриваться как дополнительный сверхоперативный регистр.

Аккумулятор - восьмиразрядный регистр, предназначенный для приема и хранения результата, полученного при выполнении арифметико-логических операций или операций сдвига

Блок последовательного интерфейса и прерываний (ПИП) предназначен для организации ввода - вывода последовательных потоков информации и организации системы прерывания программ. В состав блока входят:

буфер ПИП;

логика управления;

регистр управления;

буфер передатчика;

буфер приемника;

приемопередатчик последовательного порта;

регистр приоритетов прерываний;

регистр разрешения прерываний;

логика обработки флагов прерываний и схема выработки вектора.

Счетчик команд (Program Counter) предназначен для формирования текущего 16-разрядного адреса внутренней памяти программ и 8/16-разрядного адреса внешней памяти программ. В состав счетчика команд входят 16-разрядные буфер РС, регистр РС и схема инкремента (увеличения содержимого на 1).

Память данных (RAM) предназначена для временного хранения информации, используемой в процессе выполнения программы.

Порты P0, P1, P2, P3 являются квазидвунаправленными портами ввода - вывода и предназначены для обеспечения обмена информацией ОЭВМ с внешними устройствами, образуя 32 линии ввода - вывода.

Регистр состояния программы (PSW) предназначен для хранения информации о состоянии АЛУ при выполнении программы.

Память программ (EPROM) предназначена для хранения программ и представляет собой постоянное запоминающее устройство (ПЗУ). В разных микросхемах применяются масочные, стираемые ультрафиолетовым излучением или FLASH ПЗУ.

Регистр указателя данных (DPTR) предназначен для хранения 16 - разрядного адреса внешней памяти данных или памяти программ.

Указатель стека (SP) представляет собой восьмиразрядный регистр, предназначенный для организации особой области памяти данных (стека), в которой можно временно сохранить любую ячейку памяти.

В соответствии с заданием выбираем модем, использующий GMSK модуляцию Совмещенный Glonass/GPS навигационный приемник TFAG50 предназначен для приема сигналов спутниковых систем навигации Glonass (частотные литеры с - 7 до +12, сигнал стандартной точности) и GPS (сигнал C/A).

Приемник состоит из аналоговой и цифровой частей.

В аналоговой части (RF Front End, сокращенно FE) производится фильтрация и усиление входных сигналов, а также их оцифровка. FE построена по схеме супергетеродинного приемника с двойным преобразованием частоты. Частоты гетеродинов формируются из частоты опорного кварцевого генератора методом косвенного синтеза с использованием петли ФАПЧ. Выходными сигналами FE являются бинарные отсчеты сигналов второй промежуточной частоты Glonass и GPS, сигнал тактовой частоты 61МГц, сигнал индикации захвата петель ФАПЧ. Входные сигналы - питание 3,3В+-5% и сигналы управления синтезатором частоты.

В приемнике используется только 3-х вольтовая элементная база.

Опорный кварцевый генератор - IVT7525E (RAKON) на частоту 13,0МГц. Входной высокочастотный фильтр - двухполюсный керамический фильтр VF1575B2 (РИТЕК-КОРУС, Россия). На первом этапе используются готовые ПАВ фильтры фирмы АЕК (Россия) на центральные частоты 162,3МГц (GPS) и 192,3МГц (Glonass). Входной МШУ - uPC2749TB (NEC). Первый смеситель и ГУН первого гетеродина - uPC2756T (NEC). Буферный усилитель первого гетеродина и предварительные усилители промежуточной частоты - uPC8128TB (NEC). Второй смеситель с усилителем второй промежуточной частоты (ПЧ) - uPC2768GR (NEC). MAX962EUA (MAXIM) - сдвоенный компаратор (однобитовый АЦП). Микросхема LMX1600TM (NSC) - сдвоенный синтезатор для формирования тактовой частоты 61МГц и частоты первого гетеродина. Управление синтезатором производится по трехпроводной шине сигналами SDATA (данные), SCLCK (тактовая сетка), SLE (загрузка данных). Сигналы управления формируются процессором, а поступают в FE через ASIC коррелятора. О состоянии петель ФАПЧ обоих синтезаторов индицирует сигнал LOCK (захват). Норма, если LOCK=1. Это означает, что обе петли ФАПЧ - в захвате. Сигнал LOCK должен быть прочитан процессором не ранее чем через 0,5сек от момента окончания программирования. Процедура программирования микросхемы LMX1600TM подробно описана в документе "СБИС (ASIC) 16-ти канальный коррелятор”. MAX2620EUA (MAXIM) - ГУН генератора тактовой частоты. MAX961EUA (MAXIM) - компаратор; преобразовывает синусоидальный сигнал 61МГц с выхода второго ГУН’а в сигнал ТТЛ уровня.

Напряжение питания FE (2,8В) формируется в линейном стабилизаторе (Linear Low Dropout Regulator) - LP2981IM5-2.8 (NSC) из входного напряжения питания приемника (3,3В+-5%).

В цифровой части производится вся дальнейшая (аппаратная и программная) цифровая обработка сигналов.

Состав цифровой части:(СБИС)"16-ти канальный коррелятор”;

процессор;ПЗУ;

ОЗУ;

супервизор;

трансивер RS-232.

СБИС "16-ти канальный коррелятор” - заказная микросхема, изготовляемая по технологии 0,35мкм. Рабочая тактовая частота - 30,5МГц.

Состав СБИС:

n  16 корреляционных каналов;

n  сдвоенный приемопередатчик (DUART) типа RS-232 с FIFO объемом 16х8 бит;

n  формирователь 1PPS;

n  часы реального времени - RTC;

n  формирователи сигнала прерывания INT1 и шкалы времени.

Процессор - ADSP2189M (Analog Devices). Тактовая частота процессора 61МГц получается умножением на 2 частоты 30,5МГц. FLASH ПЗУ - объемом 512Кх8, время доступа - 70нс. ОЗУ - статическое, асинхронное, объемом 32Кх8, время доступа - 70нс. ОЗУ используется для хранения альманахов и эфемерид спутников. СБИС находится в области данных процессора (DMS), FLASH ПЗУ - в области байтового DMA (BMS), ОЗУ - в комбинированной области (CMS). В процессоре задан тип загрузки кода программы - через BDMA (MODE A=MODE B=MODE C=MODE DE=0). В процессоре используются следующие прерывания: IRQ0 (на этот вход заведен сигнал Low Line с выхода супервизора, см. описание ниже), IRQ1 (соединен с выходом INT1 СБИС, используется для обмена процессора с 16-тью каналами корреляционной обработки), IRQ2 (соединен с выходом INT2 СБИС, источником прерывания является схема DUART СБИС).

Супервизор MAX793ESE (MAXIM) выполняет следующие функции:

n  формирует сигнал обнуления - RESET (активный уровень - 0, длительность - 200мс) при: включении/выключении питания, при снижении напряжения питания ниже нормы, при подаче на вход платы приемника внешнего сигнала обнуления - Manual Reset (MRES);

n  формирует сигнала Low Line. Этот сигнал (активный уровень - 0) с опережением минимум на 25мкс повторяет сигнал RESET и предупреждает процессор о том, питание выходит за пределы нормы, и запись в ПЗУ или ОЗУ должна быть остановлена;

n  коммутирует основное напряжение питания и резервное (от внешней батареи). Переход на резервное питание производится, если выполняется одно из двух условий: либо Vbat>VDD>2,7В либо VDD<1,75В независимо от величины напряжения резервной батареи. К выходу коммутатора супервизора подключены СБИС и ОЗУ. Когда основное питание выключено, в СБИС работают лишь часы реального времени; все остальные блоки СБИС остановлены. Переход на резервное питание позволяет сохранять при отключенном основном питании необходимую навигационную информацию в ОЗУ и знать текущее время;

n  анализирует величину напряжения резервной батареи. Если напряжение батареи менее 2,0В, на выводе PFO супервизора устанавливается нулевой уровень (сигнал Low Bat). Состояние вывода PFO доступно процессору по чтению.

Трансивер сигналов RS-232 (ADM3202) преобразует сигналы ТТЛ уровня в двуполярные (+-6В) и обратно.

Контакты ввода/вывода СБИС используются следующим образом:…IO2 - выходные, используются для формирования сигналов SDATA, SCLCK и SLE программирования микросхемы синтезатора частоты FE;- выходной; управляет состоянием светодиодного индикатора "MODE” (индицирует о режиме работы приемника);- входной; анализируется состояние сигнала LOCK синтезатора частоты FE (норма - уровень 1);- входной; к этому контакту подключен сигнал Low Bat (индикатор разряда резервной батареи). Норма, если Low Bat=1, если Low Bat=0 - резервная батарея разряжена.

В разрабатываемой системе связь устройства управления с модемом осуществляется посредством последовательного интерфейса RS-232.

Интерфейс RS-232 (стандарт EIA-232) использует 25 контактные разъемы DB и служит для подключения последовательных устройств (как в синхронном, так и в асинхронном режиме).

Рисунок 6.7 - Интерфейс RS-232.

Таблица 6.1 - Описание выводов интерфейса RS-232.

Контакт

Сигнал

EIA CKT

От устройства DCE

К устройству DCE

1

Корпус

AA

 

 

2

Передача (Transmitted Data, TD)

BA

 

D

3

Прием (Received Data, RD)

BB

D

 

4

Запрос на передачу (Request to Send, RTS)

CA

 

C

5

Готовность к передаче (Clear to Send, CTS)

CB

C

 

6

Готовность данных (Data Set Ready, DSR)

CC

C

 

7

Сигнальная "земля" (Signal Gnd/Common Return)

AB

 

 

8

Детектирование несущей (Rcvd. Line Signal Detector, CD, DCD)

CF

C

 

9

Тестовое напряжение (+)

 

 

 

10

Тестовое напряжение (-)

 

 

 

11

Не используется

 

 

 

12

SCF

C

 

13

Готовность к передаче, возврат (Secondary Clear to Send)

SCB

C

 

14

Передача, возврат (Secondary Transmitted Data)

SBA

 

D

15

Тактирование передачи (Transmitter Sig. Element Timing, TSET)

DB

T

 

16

Прием, возврат (Secondary Received Data)

SBB

D

 

17

Тактирование приема (Receiver Sig. Element Timing, RSET)

DD

T

 

18

Локальный шлейф (LL)

 

 

 

19

Запрос на передачу, возврат (Secondary Request to Send)

SCA

 

C

20

Готовность терминала (Data Terminal Ready, DTR)

CD

 

C

21

Детектирование качества сигнала (Sig. Quality Detector)

CG

 

C

22

Индикатор вызова (Ring Indicator)

CE

C

 

23

Выбор скорости (Data Sig. Rate Selector (DCE))

CI

 

C

24

Тактирование передачи (Transmitter Sig. Element Timing, TSET)

DA

 

T

25

Не используется

 

 

 

- данные, C - управление, T - синхронизация.

Для подключения источника информации к микроконтроллеру необходимо использовать преобразователь уровней RS232/TTL на передающей стороне и преобразователь уровней TTL/RS232 на приёмной стороне.

В качестве таких преобразователей из выбираем микросхему MAX232. MAX232 - интегральная схема, преобразующая сигналы последовательного порта RS-232 в сигналы, пригодные для использования в цифровых схемах на базе ТТЛ или КМОП технологий. MAX232 работает приемопередатчиком и преобразует сигналы RX, TX, CTS и RTS.

Функциональность и цоколевка микросхемы стала стандартом де-факто и ее аналоги (с другой маркировкой) выпускаются множеством производителей полупроводников.

Схема обеспечивает уровень выходного напряжения, используемый в RS-232 (приблизительно ± 7.5 В), преобразуя входное напряжение + 5 В при помощи внутреннего зарядового насоса на внешних конденсаторах. Это упрощает реализацию RS-232 в устройствах, работающих на напряжениях от 0 до + 5 В, так как не требуется усложнять источник питания только для того, чтобы использовать RS-232.

Входное напряжение от RS-232, которое может достигать ± 25 В, понижается до стандартных 5 В, используемых в транзисторно-транзисторной логике. Входы имеют средний порог 1.3 В и средний гистерезис 0.5 В.

Модификация MAX232A обратно совместима с MAX232, но может работать на более высоких скоростях, и использовать внешние конденсаторы меньшей емкости - 0.1 μF вместо конденсаторов на 1.0 μF, используемых с оригинальной схемой.

Типовая схема включения, взятая из Data Sheet фирмы Texas Instruments, приведена на рисунке ниже:


5. Принципиальная электрическая схема системы


Общий вид принципиальных электрических схем системы показан в приложении.

На основании предыдущего пункта имеется перечень элементов необходимых для проектирования электрической принципиальной схемы системы.

На передающей стороне устройства сопряжения RS-232 и уровней ТТЛ - логики соединяется с микроконтроллером 8051АН. Микроконтроллеры 8051АН в параллельном режиме передаёт данные на устройство управления посредством восьми линий порта Р2, которые через шину данных соединены с линиями 0 - 7 порта Р1 микроконтроллера 81С51GB. Этот микроконтроллер выполняет функции устройства управления. Далее этот микроконтроллер выдаёт последовательность обработанных импульсов на линию 1 последовательного порта 3.

С линии Р3.0 и Р3.1 последовательность импульсов поступает на линии микросхемы МАХ232, которые является конвертором уровней ТТЛ в уровни RS-232. С выходов этой схемы последовательность импульсов поступает на встроенный интерфейс модема.

6. Расчётная часть


В соответствии с алгоритмом функционирования системы и принятой структурой сигнала в линии связи производим расчет частоты тактовых импульсов генератора и длительности импульсов.

Исходя из заданной скорости передачи информации, найдем длительность передачи одного бита, частоту одного бита и практическую полосу частот. При использовании GMSK модуляции одна позиция сигнала переносит четыре бита. Тогда длительность одного бита рассчитаем по формуле:


Так как применяются методы линейного кодирования, а скремблер не изменяет частоту следования импульсов исходной двоичной последовательности.


На основании заданных параметров необходимо передавать блок информации размером 1600 бит. При асинхронном методе передаче информации блок разбивается на сообщения по 8 бит. Тогда количество этих сообщений определяется следующим образом:

.

При асинхронном режиме передаче вначале и в конце сообщения посылается соответственно старт и 2 стоп-бита. Следовательно, длина сообщения составляет 11 бит. Тогда длительность сообщения определяется по формуле:


Длительность импульса определяется по формуле

, (4.5)

где Q - скважность, примем .

Подставив значения, получим


Практическая полоса частот при передаче радиоимпульсами определяется по формуле:

. (4.5)

Скремблирование не расширяет полосу практическую полосу частот, фактически получаем:


7. Системные расчёты


7.1 Расчет и построение спектра сигналов в линии связи


Зная мощность передатчика, вычисляем амплитуду носителя:

 В

Как известно, спектр ЧМП сигнала состоит из бесконечного множества гармонических составляющих вида . Согласно используемому виду модуляции (GMSK) реализуется модуляция вида MSK, которая является видом ЧМ с индексом манипуляции=0,5.

.

Тогда выражение для указанного ЧМП сигнала будет иметь вид:

Расчет амплитуд гармонических составляющих сведем в таблицу 8.2.

Таблица 8.2 - Значения амплитуд гармонических составляющих спектра ЧМП сигнала

Вид составляющей

Выражение для расчета амплитуд

Амплитуда, В

0,135



-3,288





-0,009





0,05





-0.00215






Спектр ЧМП сигнала, построенный в соответствии с таблицей 4.3, показан на рисунке 4.26.

Определим среднюю мощность сигнала в полосе.

 Вт.

Рисунок 8.2 - Практический спектр сигнала

7.2 Расчёт помехоустойчивости


Вероятность искажения одиночного сигнала P1 = 5*10-3, исходя их этого возможно сразу вычислить помехоустойчивость.

В проектируемом устройстве используется циклический код с d=4, что позволяет обнаруживать ошибки до тройных, включительно, исправление ошибок не производится, длина посылки - 1600 бит. Вероятность правильного приема при таких условиях составляет:

 (8.5)

Подставив численное значение, получаем:


Вероятность обнаружения ошибки вычисляется по формуле:

(8.6)

Вероятность необнаруженной ошибки вычисляется по формуле:

 (8.7)

Вероятности правильного приема , возникновения обнаруженной ошибки  и возникновения необнаруженной ошибки  образуют полную группу событий.

 (8.8)

Т.о. произведем проверку . Помехоустойчивость устройства в пределах нормы.

7.3 Расчет надежности


Надежность - свойство изделия выполнять заданные функции, сохраняя эксплуатационные показатели в заданных пределах в течение требуемого промежутка времени. Надежность является комплексным свойством, которое обуславливается качественными характеристиками (безотказностью, долговечностью, ремонтопригодностью и сохраняемостью) и количественными:

вероятностью безотказной работы

, (8.5)

где е - основание натурального логарифма;

t - заданное время работы изделия;

средней наработкой до отказа

 

To = 1/ λсх; (8.6)

интенсивностью отказа схемы

λсх = λR · k1 + λC · k2 + λпайки · k3 + …, (8.7)

где λi - интенсивность отказов элементов данной группы;

ki - количество элементов данной группы;

Q= 1 - P - вероятностью отказа

Порядок расчета:

выбираем значения λi для элементов, которые входят в состав нашего изделия;

по выражению (8.7) рассчитываем интенсивность отказа схемы;

из выражения (8.6) находим наработку до отказа;

по выражению (8.5) определяем вероятность безотказной работы.

Произведем расчет количественных показателей надежности изделия, заданного в условии задачи. Для удобства расчета λсх составим таблицу 7.

Таблица 7 - Таблица расчета интенсивности отказа схемы

Тип элемента

λ, 1/час

Кол-во, шт

λi, 1/час

Цифровые интегральные микросхемы

2,5 · 10-7

14

35 10-7

Конденсаторы керамические

10-7

11

11 · 10-7

Резисторы пленочные

2 · 10-8

8

1,6 10-7

Кварцевые резонаторы

10-7

1

10-7

Аналоговые интегральные микросхемы

10-8

21

2,1 10-7

λсх = 50,7 · 10-7


Тогда наработка до отказа составит

 ч.

Вероятность безотказной работы при времени работы изделия, равным 1000000 ч., будет

.

Следовательно, вероятность отказа составит

.

 


8. Разработка программного обеспечения


8.1 Программа работы микроконтроллера на передатчике


Программа работы формирователя блока:

ORG 00HM0H; формирование вектора; внешнего прерыванияH; основная программа: SETBEA; разрешение всех прерываний; разрешение внешнего прерывания, #FFH;R0, #00H; запись начального адресаR7, #00H; запись адреса приемника: JMPM1; ожидание прерывания: MOVP1, A; подпрограмма обработки прерывания, P1; ввод данных@R0, A; из А в ячейку BПД

CJNE R0, #96H, M2;EA; запрет внешних прерываний: INC R0; возврат из подпрограммы.0; запрос разрешения выдачи информации: JNB P3.1, M3; ожидание подтверждения, #00H

MOVX A, @R0; выдача блока данных в порт P2

MOVP0, A, #AFH, M3

END

Программа работы УОД передающей стороны:

ORG06H; начало основной программы; разрешение всех прерываний; разрешение внешнего прерывания, #0000H; обнуление регистра DPTR, #96H; длина блока данных в байтах, #FFH; открытие фиксаторов на портах.0; ввода-вывода.1

POLL: JBP1.0, DATA1; опрос линий 0,1 порта P1.1, DATA2; о готовности выдачи

Подпрограмма записи информации от источника:

DATA1: MOV@R1, #01H; номер источника информации@R0, #01H; номер приемника информации, R2; длина блока информации, #0000H;

; сохранение информации

SAVE1: SETBP3.0; строб формирователя блока, P1; данных на выдачу информации.0; снятие строба@DPTR, A; запись в ВПД;, SAVE1; проверка длины блока данныхSHIFR

Подпрограмма для шифрования данных методом шифрования с открытым ключом:

SHIFR: MOV R1, #ABH; адрес ВПД куда записываются

; зашифрованные данные

MOV R0, #00H; начало ВПД (Ai)

MOV R7, 10H; размер ключа

MOV R3, #00;

LKLUCH: MOV A, @R0;R6, A;A, @R0;A, R6;@ R1, A;R0;R1;R7, LKLUCH;: MOV R4, R0;R0, R3;A, @R0;R7, A;R0, R4;A, @R0;A, R7;@R1, A;R3;R1;R0;R0, # 06, REPEAT;

Подпрограмма сжатия методом RLE:

; подпрограмма сжатия данных

RLE: CLRES; запрещение прерываний

CLRET1; последовательного порта и

CLRET0; таймеров

MOVSCON, #0C8H; настройка последовательного

; порта на третий режим работы

; установка программируемого

; девятого бита в единицу, как

; второго стоп-бита

MOVTMOD, #20H; настройка и подготовка к работе

;

MOVTH1, #0E6H;

MOVTL1, #0E6H;

;

MOVR6, #00H; в регистр R6 заносится

очередной байт

MOVDPTR, #1B00H;

MOVR0, #18H; очередной блок данных

; сравнивается с о следующим

MOVA, @R0;

ANLA, #0FH;

MOVDPL, R3;

MOVX@DPTR, A; если есть совпадения инкремен INCR3; тируется счетчик числа

; совпадений и инкрементирует

SETBTR1; ся счетчик длины сжатого

; блока

MOVSBUF, R3;

MOVDPL, #00H; сравнение с сгенерированным

MOVXA, @DPTR; служебным символом и

MOVR5, A; занесение в устройство

MOVR1, #00H; записи

; начало цикла кодирования

CYCL: CLRC; сброс флага перенос

MOVA, R5; RRC A;

MOVR5, A;, R6;;, #7FH;

ORLA, #40H;

JMPCYCLIC

Подпрограмма кодирования циклическим кодом P (x) = x16 + x12 + x5 + 1:

CYCLIC: MOVR0, #0AH; состояния триггерных ячеек

CYCL_1: MOV@R0, #00H; 0 - 15 записываются в трёх

INCR0; байтах РПД: #0AH - #0CH и

CJNER0, #10H, CYCL_1; первоначально равны нулю

; предыдущие состояния:

; #0DH - #0FH

MOVDPTR, #1B00H; начало зашифрованного

; блока информации

MOVXA, @DPTR; первый байт блока

MOVR6, A; записывается в регистр R6

MOVDPL, R3; добавление к блоку

DECDPL; информации трёх нулевых

MOVX@DPTR, #00H; байт, исходя из того, что

INCDPL; старшая степень

MOVX@DPTR, #00H; образующего полинома

INCDPL; равна 16

MOVX@DPTR, #00H;

MOVDPL, #00H;

MOVA, R3; новая длина блока

ADDA, #03H; информации равна длине

MOVR1, A; блока исходных данных

; плюс три дополнительных байта

; начало основного цикла

CYCL_B: MOVR4, #08H; количество бит

; начало внутреннего цикла

CYCL_M: CLRC; сброс флага переноса

MOVR0, #0EH; организация циклического

MOVA, @R0; сдвига вправо всех ячеек

RRCA; сдвигового регистра деления

INCR0; длиной в три байта и

MOVA, @R0; перезапись нового состояния

RRCA; ячеек с адресов для их

MOVR0, #0CH; предыдущего состояния на

MOV@R0, A; адреса для их текущего

INCR0; состояния

MOVA, @R0;

RRCA;

MOVR0, #0AH;@R0, A;, #0EH;, @R0;;, #0BH;@R0, A;0; установка указателя адреса

; на старший байт ячеек

; сдвигового регистра деления

MOVA, R6; анализ байта входных

; данных

RLCA; проверка старшего бита и

CLRA.0; переход к следующему биту

MOVR6, A; сохранение сдвинутого байта

JNCBYTE_1; переход к анализу старшего

; байта ячеек сдвигового

; регистра деления, если

; старший бит входных

; данных нулевой

; подпрограмма суммирования

; по модулю 2 старшего бита входных данных и старшего

; бита старшего байта ячеек

; регистра деления

CLRC; сброс флага переноса

MOVA, @R0; старший байт регистра

RLCA; проверка старшего бита

RRA;

JNCC_0; переход, если старший бит

; нуль

CLRC; если старший бит единица,

CLRA.7; то после сложения по

JMPBYTE_1; модулю два, он равен нулю

C_0: SETBA.7; если старший бит нуль, то

JMPBYTE_1; после сложения по модулю

; два, он равен единице

; подпрограмма обработки

; старшего байта ячеек

; регистра в соответствии с

; образующим полиномом

BYTE_1: MOV@R0, A; определение последнего бита

MOVR0, #0FH; ячеек регистра деления из

MOVA, @R0; его предыдущего состояния

RRCA;

JNCSAVE; переход, если бит равен

; нулю

CLRC; если бит равен единице, то

MOVR0, #0AH; старший байт регистра

MOVA, @R0; деления изменяется в

ANLA, #2AH; соответствии с полиномом

XRLA, #2AH;

MOVB, @R0;, #0D5H;, B;@R0, A;

MOVR0, #0CH; аналогично производится

MOVA, @R0; обработка младшего байта

ANLA, #01H;

XRLA, #01H;, @R0;, #0FEH;, B;

MOV@R0, A

SAVE: MOVR0, #0AH; перезапись текущего

MOVA, @R0; состояния ячеек регистра

MOVR0, #0DH; деления на адреса для их

MOV@R0, A; предыдущего состояния

MOVR0, #0BH;

MOVA, @R0;, #0EH;@R0, A;, #0CH;, @R0;, #0FH;@R0, A;

DJNZR4, CYCL_M; проверка прохождения цикла

; по всем восьми битам

; входного байта

; если предыдущий цикл

INCDPL; завершён, то производится

MOVXA, @DPTR; обработка следующего байта

MOVR6, A; входных данных

DJNZR1, CYCL_B; проверка завершения

; основного цикла

MOVDPL, R3; добавление полученных

DECDPL; контрольных символов в

CYCL_2: MOVA, @R0; исходной кодовой

MOVX@DPTR, A; комбинации

INCR0;;, #10H, CYCL_2;, DPL;, 11111111; формирование синхросигнала

9.2 Программа работы микроконтроллера на приемнике

Подпрограмма декодирования циклическим кодом:

CYCLIC: MOVR5, #0AH; состояния триггерных ячеек 0 - 15

CYCL_1: MOV@R5, #00H; записываются в двух байтах РПД; 0A - 0C и первоначально равны, #10H, CYCL_1; нулю предыдущие состояния: 0D - 0F, #2000H; начало зашифрованного блока, @DPTR; первый байт блока записывается в, A; регистр R7, R4; добавление к блоку информации; двух нулевых байт, исходя из того,@DPTR, #00H; что старшая степень образующего; полинома равна 24@DPTR, #00H;

INCDPL;@DPTR, #00H;, #00H;

MOVA, R4; новая длина блока информации, #03H; равна длине блока исходных, A; данных плюс два дополнительных байта

Начало основного цикла:

CYCL_B: MOVR6, #08H; количество бит

; начало внутреннего цикла_M: CLRC; сброс флага переноса, #0EH; организация циклического сдвига, @R5; вправо всех ячеек сдвигового; регистра деления длиной в три; байта и перезапись нового, @R5; состояния ячеек с адресов для их; предыдущего состояния на адреса, #0CH; для их текущего состояния

MOV@R5, A;;, @R5;;, #0AH;@R5, A;, #0EH;, @R5;;, #0BH;@R5, A;

DECR5; установка указателя адреса на

; старший байт ячеек сдвигового

; регистра деления, R7; анализ байта входных данных; проверка старшего бита и переход.0; к следующему биту, A; сохранение сдвинутого байта_1; переход к анализу старшего байта

; ячеек сдвигового регистра

; деления, если старший бит

; входных данных нулевой

Подпрограмма суммирования по модулю два старшего бита входных данных и старшего бита старшего байта ячеек регистра деления:

CLRC;, @R5; старший байт регистра; проверка старшего бита;_0; переход, если старший бит нуль; если старший бит единица, то.7; после сложения по модулю два, он_1; равен нулю_0: SETBA.7; если старший бит нуль, то после_1; сложения по модулю два, он равен

; единице

Подпрограмма обработки старшего байта ячеек регистра в соответствии с образующим полиномом:

BYTE_1: MOV@R5, A; определение последнего бита, #0FH; ячеек регистра деления из, @R0; предыдущего его состояния;; переход, если бит равен нулю; если бит равен единице, то, #0AH; старший байт регистра деления, @R5; изменяется в соответствии с

ANLA, #2AH; полиномом

XRLA, #2AH;

MOVB, @R5;, #D5H;, B;@R5, A;, #0CH; аналогично производится, @R5; обработка младшего байта

ANLA, #01H;

XRLA, #01H;, @R5;, #FEH;, B;@R5, A;

SAVE: MOVR5, #0AH; перезапись текущего состояния, @R5; ячеек регистра деления на адреса, #0DH; для их предыдущего состояния

MOV@R5, A;, #0BH;, @R5;, #0EH;@R5, A;, #0CH;, @R5;, #0FH;

MOV@R5, A;, CYCL_M; проверка прохождения цикла по

; всем восьми битам входного байта

; если предыдущий цикл завершён,; то производится обработка, @DPTR; следующего байта входных, A; данных, CYCL_B; проверка завершения основного

; цикла, R4; добавление полученных; контрольных символов в ячейках, #0DH; регистра деления к исходной

CYCL_2: MOVA, @R5; кодовой комбинации@DPTR, A;;;, #10H, CYCL_2; R3, FC; восстановление длины блока

MOV A, R4; данныхA, R3;R3, A;DESHIFR;

Подпрограмма декодирования шифра с открытым ключом:

На вход подаются данные с адреса 00h ВПД

DESHIFR: MOV R1, #ABH; адрес ВПД куда записываются

; расшифрованные данные;

MOV R0, #00H; начало ВПД (Ai)

MOV R7, 10H; размер ключа

MOV R3, #00;

LKLUCH: MOV A, @R0;R6, A;A, @R0;A, R6;@ R1, A;R0;R1;R7, LKLUCH;: MOV R4, R0;R0, R3;A, @R0;R7, A;R0, R4;A, @R0;A, R7;@R1, A;R3;R1;R0;R0, # 06, REPEAT; RLE;

Подпрограмма декомпрессии данных методом RLE:

RLE:.; программа сохраняет

.; разархивированные данные в

.; ВПД на адресах #0200H -

.; #0296A длина

.; разархивированного блока

.; данных - 150 байт регистр

.; R2 - длина блока данных

JMPMOVE; переход к подпрограмме

; выдачи данных к приёмнику

MOVE: MOVX A, @R0; выдача блока данных в порт P1.0

MOVP0.1, A, #AFH, M3

Подпрограмма выдачи информации к приёмному устройству:

MOVE: MOVDPTR, #0200H; адрес начала блока данных

STROB: MOVXA, @DPTR;

SETBP1.0; выдача стробирующего

; импульса на линию 0 порта

; P1

MOVP0, A; выдача данных в порт P0

CLRP1.0; снятие строба

INCDPL; переход к следующему байту

; данных

CJNEDPL, R2, STROB; проверка завершения цикла

; выдачи информации

JMPDATA; переход к подпрограмме

; приёма информации

END

Подпрограмма модуляции и демодуляции ФМ-8 радиосигнала:

function varargout = psk8 (varargin)

% PSK8 M-file for psk8. fig

% Begin initialization code - DO NOT EDIT_Singleton = 1;_State = struct ('gui_Name', mfilename,.

'gui_Singleton', gui_Singleton,.

'gui_OpeningFcn', @psk8_OpeningFcn,.

'gui_OutputFcn', @psk8_OutputFcn,.

'gui_LayoutFcn', [],.

'gui_Callback', []);nargin & isstr (varargin{1})_State. gui_Callback = str2func (varargin{1});nargout

[varargout{1: nargout}] = gui_mainfcn (gui_State, varargin{: });_mainfcn (gui_State, varargin{: });

% End initialization code - DO NOT EDITpsk8_OpeningFcn (hObject, eventdata, handles, varargin). output = hObject;

%< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %<. menu_data = {{.

'Передаваемое сообщение [In] '.

'Сигнал [C1] '.

'Сигнал [C2] '.

'Сигнал [C3] '.

'Сигнал I [U1] '.

'Сигнал Q [U2] '.

'Носитель [G1] '.

'Носитель [G2] '.

'Модулирующий сигнал [U3] '.

'Модулирующий сигнал [U4] '.

'ФM-8 радиосигнал [M] '} {.

'ФM-8 радиосигнал [M] '.

'Носитель [G1] '.

'Носитель [G2] '.

'Сигнал [U5] '.

'Сигнал [U6] '.

'Сигнал [U7] '.

'Сигнал [U8] '.

'Сигнал генератора [G3] '.

'Сигнал [D1] '.

'Сигнал [D2] '.

'Сигнал [D3] '.

'Принятое сообщение [Out] '}};

handles. map = {.

[1,1; 1,2; 1,3; 1,4; 1,5; 1,6; 1,7; 1,8; 1,9; 1,10; 1,11].

[1,11; 1,7; 1,8; 2,1; 2,2; 2,3; 2,4; 2,5; 2,6; 2,7; 2,8; 2,9] };(hObject, handles);(handles. menu2,'String',handles. menu_data{1});(handles. figure1,'Name', ‘GMSK);

% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >%

function varargout = psk8_OutputFcn (hObject, eventdata, handles){1} = handles. output;figure_CloseRequestFcn (hObject, eventdata, handles)

%< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %<_system ('psk8m',0);

% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >%(hObject);button1_Callback (hObject, eventdata, handles)(handles. save,'Enable','on')= get (handles. edit1,'String');= length (Msg);= eval (get (handles. edit2,'String')); % скорость передачи= eval (get (handles. edit3,'String')); % частота носителЯ= eval (get (handles. edit4,'String')); % начальнаЯ фаза носителЯ= 1/Fd; % длительность импульсаi = 1: N,(i,1) = (i-1) /Fd;Msg (i) == '1' Message (i,2) = 1;Message (i,2) = 0;;;(N+1,1) = N/Fd;(N+1,2) = 0;('base','Message',Message);

%< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %< %<= (N+2) /Fd+1/Fc; % длительность сообщениЯ= strcat ('1/',num2str (Fd));= 5000;(rem (Fc,1) ~= 0) | (rem (Fd,1) ~= 0), dF = Fd*Fc;dF = lcm (Fd,Fc);;dF > MaxF, dF = Fc; end;fix (MaxF/dF) ~= 0, dF = dF*fix (MaxF/dF); end;

% Запуск модели у установка параметров_system ('psk8m');_param ('psk8m','StopTime',num2str (Tc));_param ('psk8m','MaxStep',num2str (Tc/dF));_param ('psk8m/Control/Message','SampleTime',dT);_param ('psk8m/Control/Zero-Order Hold','SampleTime',dT);_param ('psk8m/Control/Scope1','TimeRange',num2str (Tc));_param ('psk8m/Control/Scope2','TimeRange',num2str (Tc));_param ('psk8m/Demux/G0','SampleTime',dT);_param ('psk8m/Demux/In1','SampleTime',dT);_param ('psk8m/G1','Frequency',strcat ('2*pi*',num2str (Fc)));_param ('psk8m/G1','Phase',strcat ('pi/2+',num2str (w0)));_param ('psk8m/G2','Frequency',strcat ('2*pi*',num2str (Fc)));_param ('psk8m/G2','Phase',num2str (w0));_param ('psk8m/Filter1/LPF','Wlo',strcat ('2*pi*',num2str (Fc)));_param ('psk8m/Filter2/LPF','Wlo',strcat ('2*pi*',num2str (Fc)));_param ('psk8m/G3/G3a','Period',strcat ('3/',num2str (Fd)));_param ('psk8m/G3/G3a','PhaseDelay',strcat ('2/',num2str (Fd),'+1/',num2str (Fc)));_param ('psk8m/G3/G3b','Period',strcat ('3/',num2str (Fd)));_param ('psk8m/G3/G3b','PhaseDelay',strcat ('2/',num2str (Fd),'+1/',num2str (Fc)));('psk8m');

% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >% >%

% Построение осциллограммы и спектра сигнала= {Scope1 Scope2};('base','ScopeDat',ScopeData);= handles. map{get (handles. menu1,'Value') } (get (handles. menu2,'Value'),1);= handles. map{get (handles. menu1,'Value') } (get (handles. menu2,'Value'),2);= ScopeData{ScopeNo}. time;= ScopeData{ScopeNo}. signals (1,ScopeIn). values (:,1);(t,V,Tc,handles. scope,handles. spectrum);menu1_CreateFcn (hObject, eventdata, handles)ispc set (hObject,'BackgroundColor','white');set (hObject,'BackgroundColor',get (0,'defaultUicontrolBackgroundColor'));menu1_Callback (hObject, eventdata, handles)(handles. menu2,'Value',1);(handles. menu2,'String',handles. menu_data{get (hObject,'Value') });menu2_CreateFcn (hObject, eventdata, handles)ispc set (hObject,'BackgroundColor','white');set (hObject,'BackgroundColor',get (0,'defaultUicontrolBackgroundColor'));menu2_Callback (hObject, eventdata, handles)edit1_CreateFcn (hObject, eventdata, handles)ispc set (hObject,'BackgroundColor','white');set (hObject,'BackgroundColor',get (0,'defaultUicontrolBackgroundColor'));edit1_Callback (hObject, eventdata, handles)edit2_CreateFcn (hObject, eventdata, handles)ispc set (hObject,'BackgroundColor','white');set (hObject,'BackgroundColor',get (0,'defaultUicontrolBackgroundColor'));edit2_Callback (hObject, eventdata, handles)edit3_CreateFcn (hObject, eventdata, handles)ispc set (hObject,'BackgroundColor','white');set (hObject,'BackgroundColor',get (0,'defaultUicontrolBackgroundColor'));edit3_Callback (hObject, eventdata, handles)edit4_CreateFcn (hObject, eventdata, handles)ispc set (hObject,'BackgroundColor','white');set (hObject,'BackgroundColor',get (0,'defaultUicontrolBackgroundColor'));edit4_Callback (hObject, eventdata, handles)save_Callback (hObject, eventdata, handles)(hObject,'Enable','off')= evalin ('base','ReportDir');= evalin ('base','ExpCount') +1;('base','ExpCount',n);~isempty (dir),= strcat (dir,'data-',num2str (n),'. txt');= strcat (dir,'data-',num2str (n),'. png');= getframe (GCF, [5,25,515,390]);(scrshot. cdata,pngFile,'png','Transparency',get (gcf,'color'));(txtFile,get (handles. menu1,'Value'),get (handles. figure1,'Name'),.. menu_data{get (handles. menu1,'Value') }{get (handles. menu2,'Value') },.(handles. edit1,'String'),get (handles. edit2,'String'),.(handles. edit3,'String'),get (handles. edit4,'String'));;


Заключение


В результате выполнения курсового проекта разработана система передачи данных. Использование данной системы на практике требует корректировки некоторых принципов, используемых в проекте

Система реализована на современной элементной базе. Так в качестве основных элементов передающей и приемной стороны выбраны микроконтроллеры семейства MCS-51, в качестве источника информации - приёмник ГЛОНАСС-МНП-М7, а в качестве модема используется ADL Vantage PRO.

В ходе выполнения курсового проекта был получен опыт построения систем передачи данных, освоены методики расчета необходимых параметров и оценочных критериев.

Произведённые системные расчёты: скорости передачи, пропускной способности, спектров сигнала, надёжностных характеристик позволяют сделать вывод, что курсовой проект полностью соответствует техническому заданию на проектирование.

Список литературы


1.      Сорока Н.И., Кривинченко Г.А. Теория передачи информации: Конспект лекций для студентов специальности Т.11.01.00 "Автоматическое управление в технических системах”. - Мн.: БГУИР, 1998. - 88 с.

2.      Сорока Н.И., Кривинченко Г.А. Телемеханика: Конспект лекций для студентов специальности Т.11.01.00 "Автоматическое управление в технических системах”. Ч.1: Сообщения и сигналы. - Мн.: БГУИР, 2000. - 128 с.

.        Сорока Н.И., Кривинченко Г.А. Телемеханика: Конспект лекций для студентов специальности "Автоматическое управление в технических системах”. Ч.2: Коды и кодирование. - Мн.: БГУИР, 2001. - 168 с.

.        Сорока Н.И., Кривинченко Г.А. Телемеханика: конспект лекций для студентов специальностей 53 01 03 "Автоматическое управление в технических системах” и 53 01 07 "Информационные технологии и управление в технических системах”. Ч.3: Линии связи и помехоустойчивость информации. Мн.: БГУИР, 2004. - 130 с.

.        Сорока Н.И., Кривинченко Г.А. Телемеханика: Конспект лекций для студентов специальностей 53 01 03 "Автоматическое управление в технических системах” и 53 01 07 "Информационные технологии и управление в технических системах”. Ч.4: Системы телемеханики. - Мн.: БГУИР, 2005. - с.

.        Сорока Н.И., Кривинченко Г.А. Телемеханика: конспект лекций для студентов специальностей: 53 01 03 "Автоматическое управление в технических системах” и 53 01 07 "Информационные технологии и управление в технических системах”. Ч.5: Технологии передачи дискретной информации. - Мн.: БГУИР, 2005. - 184 c.: ил.


Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!